Part Number Hot Search : 
7BZXC BJ33CA KAQY414A 7BZXC Z25LA221 FP50N 15MQ040N 1N3903
Product Description
Full Text Search
 

To Download EP2S5F1508I5ES Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  altera corporation section i?1 section i. stratix ii device family data sheet this section provides the data sheet specifications for stratix ? ii devices. this section contains feature defini tions of the internal architecture, configuration and jtag boundary-scan testing information, dc operating conditions, ac timing parameters, a reference to power consumption, and ordering information for stratix ii devices. this section contains the following chapters: chapter 1, introduction chapter 2, stratix ii architecture chapter 3, configuration & testing chapter 4, hot socketing & power-on reset chapter 5, dc & switching characteristics chapter 6, reference & ordering information revision history refer to each chapter for its own specific revision history. for information on when each chapter was updated, refer to the chapter revision dates section, which appears in the full handbook.
section i?2 altera corporation stratix ii device family data sheet stratix ii device handbook, volume 1
altera corporation 1?1 may 2007 1. introduction introduction the stratix ? ii fpga family is based on a 1.2-v, 90-nm, all-layer copper sram process and features a new logic structure that maximizes performance, and enables device densities approaching 180,000 equivalent logic elements (les). stra tix ii devices offer up to 9 mbits of on-chip, trimatrix? memory for demanding, memory intensive applications and has up to 96 dsp bl ocks with up to 384 (18-bit 18-bit) multipliers for efficient implementati on of high performance filters and other dsp functions. various high-spe ed external memory interfaces are supported, including double data rate (ddr) sdram and ddr2 sdram, rldram ii, quad data rate (qdr) ii sram, and single data rate (sdr) sdram. stratix ii devices support various i/o standards along with support for 1-gigabit per second (gbps) source synchronous signaling with dpa circuitry. strati x ii devices offer a complete clock management solution with internal clock frequency of up to 550 mhz and up to 12 phase-locked loops (plls). stratix ii devices are also the industry?s first fpgas with the ability to de crypt a configuration bitstream using the advanced encryp tion standard (aes) algorithm to protect designs. features the stratix ii family offers the following features: 15,600 to 179,400 equivalent les; see table 1?1 new and innovative adaptive logic module (alm), the basic building block of the stratix ii architecture, maximizes performance and resource usage efficiency up to 9,383,040 ram bits (1,172, 880 bytes) available without reducing logic resources trimatrix memory consisting of three ram block sizes to implement true dual-port memory and firs t-in first-out (fifo) buffers high-speed dsp blocks provide dedicated implementation of multipliers (at up to 450 mhz), multi ply-accumulate functions, and finite impulse resp onse (fir) filters up to 16 global clocks with 24 clocking resources per device region clock control blocks support dyna mic clock network enable/disable, which allows clock networks to power down to reduce power consumption in user mode up to 12 plls (four enhanced plls and eight fast plls) per device provide spread spectrum, programmable bandwidth, clock switch- over, real-time pll reconfiguratio n, and advanced multiplication and phase shifting sii51001-4.2
1?2 altera corporation stratix ii device handbook, volume 1 may 2007 features support for numerous single-ended and differential i/o standards high-speed differential i/o suppor t with dpa circuitry for 1-gbps performance support for high-speed networking and communications bus standards including parallel rapidio, spi-4 phase 2 (pos-phy level 4), hypertransport ? technology, and sfi-4 support for high-speed external memory, including ddr and ddr2 sdram, rldram ii, qdr ii sram, and sdr sdram support for multiple intellectual property megafunctions from altera megacore ? functions and altera megafunction partners program (ampp sm ) megafunctions support for design security using configuration bitstream encryption support for remote configuration updates table 1?1. stratix ii fpga family features feature ep2s15 ep2s30 ep2s60 ep2s90 ep2s130 ep2s180 alms 6,240 13,552 24,176 36,384 53,016 71,760 adaptive look-up tables (aluts) (1) 12,480 27,104 48,352 72,768 106,032 143,520 equivalent les (2) 15,600 33,880 60,440 90,960 132,540 179,400 m512 ram blocks 104 202 329 488 699 930 m4k ram blocks 78 144 255 408 609 768 m-ram blocks 012469 total ram bits 419,328 1,369,728 2,544,192 4,520,488 6,747,840 9,383,040 dsp blocks 12 16 36 48 63 96 18-bit 18-bit multipliers (3) 48 64 144 192 252 384 enhanced plls 2 2 4 4 4 4 fast plls 448888 maximum user i/o pins 366 500 718 902 1,126 1,170 notes to ta b l e 1 ? 1 : (1) one alm contains two aluts. the al ut is the cell used in the quartus ? ii software for logic synthesis. (2) this is the equivalent number of les in a st ratix device (four-input lut-based architecture). (3) these multipliers are imple mented using the dsp blocks.
altera corporation 1?3 may 2007 stratix ii device handbook, volume 1 introduction stratix ii devices are available in space-saving fineline bga ? packages (see tables 1?2 and 1?3 ). all stratix ii devices support vertical migration within the same package (for example, you can migrate betw een the ep2s15, ep2s30, and ep2s60 devices in the 672-pin fineline bga package). vertical migration means that you can migrate to devices whose dedicated pins, configuration pins, and power pins are the same for a give n package across device densities. to ensure that a board layout supports migratable densities within one package offering, enable the applicable vertical migration path within the quartus ii software (assignments menu > device > migration devices). table 1?2. stratix ii package options & i/o pin counts notes (1) , (2) device 484-pin fineline bga 484-pin hybrid fineline bga 672-pin fineline bga 780-pin fineline bga 1,020-pin fineline bga 1,508-pin fineline bga ep2s15 342 366 ep2s30 342 500 ep2s60 (3) 334 492 718 ep2s90 (3) 308 534 758 902 ep2s130 (3) 534 742 1,126 ep2s180 (3) 742 1,170 notes to ta b l e 1 ? 2 : (1) all i/o pin counts include ei ght dedicated clock input pins ( clk1p , clk1n , clk3p , clk3n , clk9p , clk9n , clk11p , and clk11n ) that can be used for data inputs. (2) the quartus ii software i/o pin co unts include one additional pin, pll_ena , which is not available as general- purpose i/o pins. the pll_ena pin can only be used to enab le the plls within the device. (3) the i/o pin counts for the ep2s60, ep2s90, ep2s130, and ep2s180 devices in the 1020-pin and 1508-pin packages include eight dedicated fa st pll clock inputs ( fpll7clkp/n , fpll8clkp/n , fpll9clkp/n , and fpll10clkp/n ) that can be used for data inputs. table 1?3. stratix ii fineline bga package sizes dimension 484 pin 484-pin hybrid 672 pin 780 pin 1,020 pin 1,508 pin pitch (mm) 1.00 1.00 1.00 1.00 1.00 1.00 area (mm2) 529 729 729 841 1,089 1,600 length width (mm mm) 23 23 27 27 27 27 29 29 33 33 40 40
1?4 altera corporation stratix ii device handbook, volume 1 may 2007 features after compilation, check the information messages for a full list of i/o, dq, lvds, and other pins that are no t available because of the selected migration path. table 1?4 lists the stratix ii device package offerings and shows the total number of non-migratable user i /o pins when migrating from one density device to a larger density de vice. additional i/ o pins may not be migratable if migrating from the larger device to the smaller density device. 1 when moving from one density to a larger density, the larger density device may have fewer user i/o pins. the larger device requires more power and ground pins to support the additional logic within the device. use the quartus ii pin planner to determine which user i/o pins are migratable between the two devices. 1 to determine if your user i/o assignments are correct, run the i/o assignment analysis comman d in the quartus ii software (processing > start > start i/o assignment analysis). f refer to the i/o management chapter in volume 2 of the quartus ii handbook for more information on pin migration. table 1?4. total number of non-migratable i/o pins for stratix ii ver tical migration paths vertical migration path 484-pin fineline bga 672-pin fineline bga 780-pin fineline bga 1020-pin fineline bga 1508-pin fineline bga ep2s15 to ep2s30 0 (1) 0 ep2s15 to ep2s60 8 (1) 0 ep2s30 to ep2s60 8 (1) 8 ep2s60 to ep2s90 0 ep2s60 to ep2s130 0 ep2s60 to ep2s180 0 ep2s90 to ep2s130 0 (1) 16 17 ep2s90 to ep2s180 16 0 ep2s130 to ep2s180 0 0 note to ta b l e 1 ? 4 : (1) some of the dq/dqs pins are not migratable. refer to the quartus ii software information messages for more detailed information.
altera corporation 1?5 may 2007 stratix ii device handbook, volume 1 introduction stratix ii devices are available in up to three speed grades, -3, -4, and -5, with -3 being the fastest. table 1?5 shows stratix ii device speed-grade offerings. table 1?5. stratix ii device speed grades device temperature grade 484-pin fineline bga 484-pin hybrid fineline bga 672-pin fineline bga 780-pin fineline bga 1,020-pin fineline bga 1,508-pin fineline bga ep2s15 commercial -3, -4, -5 -3, -4, -5 industrial -4 -4 ep2s30 commercial -3, -4, -5 -3, -4, -5 industrial -4 -4 ep2s60 commercial -3, -4, -5 -3, -4, -5 -3, -4, -5 industrial -4 -4 -4 ep2s90 commercial -4, -5 -4, -5 -3, -4, -5 -3, -4, -5 industrial -4 -4 ep2s130 commercial -4, -5 -3, -4, -5 -3, -4, -5 industrial -4 -4 ep2s180 commercial -3, -4, -5 -3, -4, -5 industrial -4 -4
1?6 altera corporation stratix ii device handbook, volume 1 may 2007 document revision history document revision history table 1?6 shows the revision history for this chapter. table 1?6. document revision history date and document version changes made summary of changes may 2007, v4.2 moved document revision history to the end of the chapter. ? april 2006, v4.1 updated ?features? section. removed note 4 from table 1?2. updated table 1?4. ? december 2005, v4.0 updated tables 1?2, 1?4, and 1?5. updated figure 2?43. ? july 2005, v3.1 added vertical migration information, including table 1?4. updated table 1?5. ? may 2005, v3.0 updated ?features? section. updated table 1?2. ? march 2005, v2.1 updated ?introduction? and ?features? sections. ? january 2005, v2.0 added note to table 1?2. ? october 2004, v1.2 updated tables 1?2, 1?3, and 1?5. ? july 2004, v1.1 updated tables 1?1 and 1?2. updated ?features? section. ? february 2004, v1.0 added document to the stratix ii device handbook. ?
altera corporation 2?1 may 2007 2. stratix ii architecture functional description stratix ? ii devices contain a two-dimensional row- and column-based architecture to implement custom logic. a series of column and row interconnects of varying length and speed provides signal interconnects between logic array blocks (labs), me mory block structures (m512 ram, m4k ram, and m-ram blocks), and digital signal processing (dsp) blocks. each lab consists of eight adaptive logic modules (alms). an alm is the stratix ii device family?s basic building block of logic providing efficient implementation of user lo gic functions. labs are grouped into rows and columns across the device. m512 ram blocks are simple dual-port memory blocks with 512 bits plus parity (576 bits). these blocks prov ide dedicated simple dual-port or single-port memory up to 18-bits wide at up to 500 mhz. m512 blocks are grouped into columns across the device in between certain labs. m4k ram blocks are true dual-port me mory blocks with 4k bits plus parity (4,608 bits). these blocks provide dedicated true dual-port, simple dual-port, or single-por t memory up to 36-bits wide at up to 550 mhz. these blocks are grouped into columns across the device in between certain labs. m-ram blocks are true dual-port memo ry blocks with 512k bits plus parity (589,824 bits). these blocks provide dedicated true dual-port, simple dual-port, or single-port me mory up to 144-bits wide at up to 420 mhz. several m-ram blocks are located individually in the device's logic array. dsp blocks can implement up to eith er eight full-precision 9 9-bit multipliers, four full -precision 18 18-bit multipliers, or one full-precision 36 36-bit multiplier wi th add or subtract features. the dsp blocks support q1.15 format rounding and saturation in the multiplier and accumulator stages. these blocks also contain shift registers for digital signal processing applications, including finite impulse response (fir) and infinite impulse response (i ir) filters. dsp blocks are grouped into columns across the device and operate at up to 450 mhz. sii51002-4.3
2?2 altera corporation stratix ii device handbook, volume 1 may 2007 functional description each stratix ii device i/o pin is fed by an i/o element (ioe) located at the end of lab rows and columns ar ound the periphery of the device. i/o pins support numerous single-end ed and differential i/o standards. each ioe contains a bidirectional i/o buffer and six registers for registering input, output, and output -enable signals. when used with dedicated clocks, these registers provide exceptional performance and interface support with external me mory devices such as ddr and ddr2 sdram, rldram ii, and qdr ii sram devices. high-speed serial interface channels with dynamic ph ase alignment (dpa) support data transfer at up to 1 gbps using lvds or hypertransport tm technology i/o standards. figure 2?1 shows an overview of the stratix ii device. figure 2?1. stratix ii block diagram m512 ram blocks for dual-port memory, shift registers, & fifo buffers dsp blocks for multiplication and full implementation of fir filters m4k ram blocks for true dual-port memory & other embedded memory functions ioes support ddr, pci, pci-x, sstl-3, sstl-2, hstl-1, hstl-2, lvds, hypertransport & other i/o standards ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes ioes labs labs ioes labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs ioes labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs ioes ioes labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs labs dsp block m-ram block
altera corporation 2?3 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the number of m512 ram, m4k ram, and dsp blocks varies by device along with row and column numbers and m-ram blocks. table 2?1 lists the resources available in stratix ii devices. logic array blocks each lab consists of eight alms, carry chains, shared arithmetic chains, lab control signals, local interconnect, and register chain connection lines. the local interconnect transfer s signals between alms in the same lab. register chain connections transfer the output of an alm register to the adjacent alm register in an lab. the quartus ? ii compiler places associated logic in an lab or adjacent labs, allowing the use of local, shared arithmetic chain, and regist er chain connections for performance and area efficiency. figure 2?2 shows the stratix ii lab structure. table 2?1. stratix ii device resources device m512 ram columns/blocks m4k ram columns/blocks m-ram blocks dsp block columns/blocks lab columns lab rows ep2s15 4 / 104 3 / 78 0 2 / 12 30 26 ep2s30 6 / 202 4 / 144 1 2 / 16 49 36 ep2s60 7 / 329 5 / 255 2 3 / 36 62 51 ep2s90 8 / 488 6 / 408 4 3 / 48 71 68 ep2s130 9 / 699 7 / 609 6 3 / 63 81 87 ep2s180 11 / 930 8 / 768 9 4 / 96 100 96
2?4 altera corporation stratix ii device handbook, volume 1 may 2007 logic array blocks figure 2?2. stratix ii lab structure lab interconnects the lab local interconnect can drive alms in the same lab. it is driven by column and row interconnects and alm outputs in the same lab. neighboring labs, m512 ram bl ocks, m4k ram blocks, m-ram blocks, or dsp blocks from the left and right can also drive an lab's local interconnect through the direct link connection. the direct link connection feature minimizes the use of row and column interconnects, providing higher performance and flexibility. each alm can drive 24 alms through fast local and direct link interconnects. figure 2?3 shows the direct link connection. direct link interconnect from adjacent block direct link interconnect to adjacent block row interconnects of variable speed & length column interconnects of variable speed & length local interconnect is driven from either side by columns & labs, & from above by rows local interconnect lab direct link interconnect from adjacent block direct link interconnect to adjacent block alms
altera corporation 2?5 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?3. direct link connection lab control signals each lab contains dedicated logic for driving control signals to its alms. the control signals include three clocks, three clock enables, two asynchronous clears, synchronous clea r, asynchronous preset/load, and synchronous load control signals. this gives a maximum of 11 control signals at a time. although synchr onous load and clear signals are generally used when implementing coun ters, they can also be used with other functions. each lab can use three clocks and th ree clock enable signals. however, there can only be up to two unique cl ocks per lab, as shown in the lab control signal generation circuit in figure 2?4 . each lab's clock and clock enable signals are linked. for exam ple, any alm in a particular lab using the labclk1 signal also uses labclkena1 . if the lab uses both the rising and falling edges of a cloc k, it also uses two lab-wide clock signals. de-asserting the clock enable signal turns off the corresponding lab-wide clock. each lab can use two asynchronous clear signals and an asynchronous load/preset signal. by default, the quartus ii software uses a not gate push-back technique to achieve preset. if you disable the not gate push-up option or assign a given register to power up high using the quartus ii software, the preset is ac hieved using the asynchronous load alms direct link interconnect to right direct link interconnect from right lab, trimatrix memory block, dsp block, or ioe output direct link interconnect from left lab, trimatrix memory block, dsp block, or ioe output local interconnect direct link interconnect to left
2?6 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules signal with asynchronous load data input tied high. when the asynchronous load/prese t signal is used, the labclkena0 signal is no longer available. the lab row clocks [5..0] and lab local interconnect generate the lab-wide control signals. the multitrack tm interconnect's inherent low skew allows clock and control signal distribution in addition to data. figure 2?4 shows the lab control signal generation circuit. figure 2?4. lab-wide control signals adaptive logic modules the basic building block of logic in the stratix ii architecture, the adaptive logic module (alm), provides advanced features with efficient logic utilization. each alm contains a variety of look-up table (lut)-based resources that can be divided between two adaptive luts (aluts). with up to eight inputs to the two al uts, one alm can implement various combinations of two functions. this adaptability allo ws the alm to be dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect labclk2 syncload labclkena0 or asyncload or labpreset labclk0 labclk1 labclr1 labclkena1 labclkena2 labclr0 synclr 6 6 6 there are two unique clock signals per lab.
altera corporation 2?7 may 2007 stratix ii device handbook, volume 1 stratix ii architecture completely backward-compatible with four-input lut architectures. one alm can also implement any function of up to six inputs and certain seven-input functions. in addition to the adaptive lut-base d resources, each alm contains two programmable registers, two dedicate d full adders, a carry chain, a shared arithmetic chain, and a regi ster chain. through these dedicated resources, the alm can efficientl y implement various arithmetic functions and shift registers. each al m drives all types of interconnects: local, row, column, carry chain, shared arithmetic chain, register chain, and direct link interconnects. figure 2?5 shows a high-level block diagram of the stratix ii alm while figure 2?6 shows a detailed view of all the connections in the alm. figure 2?5. high-level block di agram of the stratix ii alm dq to general or local routing reg0 to general or local routing datae0 dataf0 shared_arith_in shared_arith_out reg_chain_in reg_chain_out adder0 dataa datab datac datad combinational logic datae1 dataf1 dq to general or local routing reg1 to general or local routing adder1 carry_in carry_out
2?8 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules figure 2?6. stratix ii alm details prn/ald clrn d a data ena q prn/ald clrn d a data ena q 4-input lut 3-input lut 3-input lut 4-input lut 3-input lut 3-input lut dataa datac datae0 dataf0 dataf1 datae1 datab datad v cc reg_chain_in sclr asyncload syncload ena[2..0] shared_arith_in carry_in carry_out clk[2..0] local interconnect row, column & direct link routing row, column & direct link routing local interconnect row, column & direct link routing row, column & direct link routing reg_chain_out shared_arith_out aclr[1..0] local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect
altera corporation 2?9 may 2007 stratix ii device handbook, volume 1 stratix ii architecture one alm contains two programmable registers. each register has data, clock, clock enable, synchronous and asynchronous clear, asynchronous load data, and synchronous and as ynchronous load /preset inputs. global signals, general-purpose i/o pi ns, or any internal logic can drive the register's clock and clear control signals. either general-purpose i/o pins or internal logic can drive th e clock enable, preset, asynchronous load, and asynchronous load data. the asynchronous load data input comes from the datae or dataf input of the alm, which are the same inputs that can be used for register packing. for combinational functions, the register is bypassed and the output of the lut drives directly to the outputs of the alm. each alm has two sets of outputs that drive the local, row, and column routing resources. the lut, adder, or register output can drive these output drivers independently (see figure 2?6 ). for each set of output drivers, two alm outputs can drive co lumn, row, or direct link routing connections, and one of these al m outputs can also drive local interconnect resources. this allows the lut or adder to drive one output while the register drives another outp ut. this feature, called register packing, improves device utilization because the device can use the register and the combinational logi c for unrelated functions. another special packing mode allows the regist er output to feed back into the lut of the same alm so that the register is packed with its own fan-out lut. this provides another mechanism for improved fitting. the alm can also drive out registered and unregistered versions of the lut or adder output. f see the performance & logic efficiency analysis of stratix ii devices white paper for more information on the efficiencies of the stratix ii alm and comparisons with previous architectures. alm operating modes the stratix ii alm can operate in one of the following modes: normal mode extended lut mode arithmetic mode shared arithmetic mode each mode uses alm resources differently. in each mode, eleven available inputs to the alm--the eigh t data inputs from the lab local interconnect; carry-in from the previous alm or lab; the shared arithmetic chain connection from th e previous alm or lab; and the register chain connection--are directed to different destinations to implement the desired logic function. lab-wide signals provide clock, asynchronous clear, asynchronous preset/load, synchronous clear,
2?10 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules synchronous load, and clock enable control for the register. these lab- wide signals are available in all alm modes. see the ?lab control signals? section for more information on the lab-wide control signals. the quartus ii software and supported third-party synthesis tools, in conjunction with parameterized functions such as library of parameterized modules (lpm) func tions, automatic ally choose the appropriate mode for common func tions such as counters, adders, subtractors, and arithmetic functions. if required, you can also create special-purpose functions that spec ify which alm operating mode to use for optimal performance. normal mode the normal mode is suitable for general logic applications and combinational functions. in this mode , up to eight data inputs from the lab local interconnect are inputs to the combinational logic. the normal mode allows two functions to be im plemented in one stratix ii alm, or an alm to implement a sing le function of up to six inputs. the alm can support certain combinations of co mpletely independent functions and various combinations of functi ons which have common inputs. figure 2?7 shows the supported lut combinations in normal mode.
altera corporation 2?11 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?7. alm in normal mode note (1) note to figure 2?7 : (1) combinations of functions with fewe r inputs than those shown are also su pported. for example, combinations of functions with the following number of inputs are supported: 4 and 3, 3 and 3, 3 and 2, 5 and 2, etc. the normal mode provides complete backward compatibility with four- input lut architectures. two independen t functions of four inputs or less can be implemented in one stratix i i alm. in addition, a five-input function and an independent three- input function can be implemented without sharing inputs. 6-input lut dataf0 datae0 dataf0 datae0 dataa datab dataa datab datab datac datac dataf0 datae0 dataa datac 6-input lut datad datad datae1 combout0 combout1 combout0 combout1 combout0 combout1 dataf1 datae1 dataf1 datad datae1 dataf1 4-input lut 4-input lut 4-input lut 6-input lut dataf0 datae0 dataa datab datac datad combout0 5-input lut 5-input lut dataf0 datae0 dataa datab datac datad combout0 combout1 datae1 dataf1 5-input lut dataf0 datae0 dataa datab datac datad combout0 combout1 datae1 dataf1 5-input lut 3-input lut
2?12 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules for the packing of two five-input fu nctions into one alm, the functions must have at least two common inputs. the common inputs are dataa and datab . the combination of a four-input function with a five-input function requires one common input (either dataa or datab ). in the case of implementing two si x-input functions in one alm, four inputs must be shared and the combin ational function must be the same. for example, a 4 2 crossbar switch (two 4-to-1 multiplexers with common inputs and unique select lines) can be implemented in one alm, as shown in figure 2?8 . the shared inputs are dataa , datab , datac , and datad , while the unique select lines are datae0 and dataf0 for function0 , and datae1 and dataf1 for function1 . this crossbar switch consumes four luts in a four-input lut-based architecture. figure 2?8. 4 2 crossbar switch example in a sparsely used device, function s that could be placed into one alm may be implemented in separate al ms. the quartus ii compiler spreads a design out to achieve the best possible performance. as a device begins to fill up, the quartus ii software auto matically utilizes the full potential of the stratix ii alm. the quartus ii compiler automatically searches for functions of common inputs or comple tely independent functions to be placed into one alm and to make efficien t use of the device resources. in addition, you can manually control re source usage by setting location assignments. any six-input function can be implemented utilizing inputs dataa, datab , datac , datad , and either datae0 and dataf0 or datae1 and dataf1 . if datae0 and dataf0 are utilized, the output is driven to register0 , and/or register0 is bypassed and the data drives out to the interconnect using the top set of output drivers (see figure 2?9 ). if six-input lut (function0) dataf0 datae0 dataa datab datac six-input lut (function1) datad datae1 combout0 combout1 dataf1 inputa sel0[1..0] sel1[1..0] inputb inputc inputd out0 out1 4 2 crossbar switch implementation in 1 alm
altera corporation 2?13 may 2007 stratix ii device handbook, volume 1 stratix ii architecture datae1 and dataf1 are utilized, the output drives to register1 and/or bypasses register1 and drives to the interconnect using the bottom set of output drivers. th e quartus ii compiler automatically selects the inputs to the lut. asynch ronous load data for the register comes from the datae or dataf input of the alm. alms in normal mode support register packing. figure 2?9. 6-input function in normal mode notes (1) , (2) notes to figure 2?9 : (1) if datae1 and dataf1 are used as inputs to the six-input function, then datae0 and dataf0 are available for register packing. (2) the dataf1 input is available for register packing only if the six-input function is un-registered. extended lut mode the extended lut mode is used to implement a specific set of seven-input functions. the set must be a 2-to-1 multiplexer fed by two arbitrary five-inp ut functions sharing four inputs. figure 2?10 shows the template of supported seven-input functions utilizing extended lut mode. in this mode, if the seven-in put function is unregistered, the unused eighth input is available for register packing. functions that fit into the template shown in figure 2?10 occur naturally in designs. these functions often appear in designs as ?if-else? statements in verilog hdl or vhdl code. 6-input lut dataf0 datae0 dataa datab datac datad datae1 dataf1 dq dq to general or local routing to general or local routing to general or local routing reg0 reg1 these inputs are available for register packing. (2)
2?14 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules figure 2?10. template for supported sev en-input functions in extended lut mode note to figure 2?10 : (1) if the seven-input function is unregistered, the unused eighth input is available for register packing. the second register, reg1 , is not available. arithmetic mode the arithmetic mode is ideal for implementing adders, counters, accumulators, wide pari ty functions, and comp arators. an alm in arithmetic mode uses two sets of two four-input luts along with two dedicated full adders. the dedicated adders allow the luts to be available to perform pre-adder logic; therefore, each adder can add the output of two four-input functions. the four luts share the dataa and datab inputs. as shown in figure 2?11 , the carry-in signal feeds to adder0 , and the carry-out from adder0 feeds to carry-in of adder1 . the carry-out from adder1 drives to adder0 of the next alm in the lab. alms in arithmetic mode can drive out registered and/or unregistered versions of the adder outputs. datae0 combout0 5-input lut 5-input lut datac dataa datab datad dataf0 datae1 dataf1 dq to general or local routing to general or local routing reg0 this input is available for register packing. (1)
altera corporation 2?15 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?11. alm in arithmetic mode while operating in arithmetic mode, the alm can support simultaneous use of the adder's carry output along with combinational logic outputs. in this operation, the adder output is ig nored. this usage of the adder with the combinational logic output provides resource savings of up to 50% for functions that can use this ability. an example of such functionality is a conditional operation, such as the one shown in figure 2?12 . the equation for this example is: r = (x < y) ? y : x to implement this function, the adder is used to subtract ?y? from ?x.? if ?x? is less than ?y,? the carry_out signal is ?1.? the carry_out signal is fed to an adder where it drives out to the lab local interconnect. it then feeds to the lab-wide syncload signal. when asserted, syncload selects the syncdata input. in this case, the data ?y? drives the syncdata inputs to the registers. if ?x? is greater than or equal to ?y,? the syncload signal is de-asserted and ?x? drives the data port of the registers. dataf0 datae0 carry_in carry_out dataa datab datac datad datae1 dataf1 dq dq to general or local routing to general or local routing reg0 reg1 to general or local routing to general or local routing 4-input lut 4-input lut 4-input lut 4-input lut adder1 adder0
2?16 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules figure 2?12. conditional operation example the arithmetic mode also offers clock enable, counter enable, synchronous up/down control, add/subtract control, synchronous clear, synchronous load. the lab local interconnect data inputs generate the clock enable, counter enable, sync hronous up/down and add/subtract control signals. these control signals are good candidates for the inputs that are shared between the four luts in the alm. the synchronous clear and synchronous load options are lab-wide signals that affect all registers in the lab. the quartus ii software automatically places any registers that are not used by the counter into other labs. carry chain the carry chain provides a fast ca rry function between the dedicated adders in arithmetic or shared arithmetic mode. carry chains can begin in either the first alm or th e fifth alm in an lab. the final carry-out signal is routed to an alm, where it is fed to local, row, or column interconnects. y[1] y[0] x[0] x[0] carry_out x[2] x[2] x[1] x[1] y[2] dq to general or local routing reg0 comb & adder logic comb & adder logic comb & adder logic comb & adder logic dq to general or local routing reg1 dq to general or local routing to local routing & then to lab-wide syncload reg0 syncload syncload syncload alm 1 alm 2 r[0] r[1] r[2] carry chain adder output is not used. syncdata
altera corporation 2?17 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the quartus ii compiler automatically creates carry chain logic during design processing, or you can create it manually during design entry. parameterized functions such as lpm functions autom atically take advantage of carry chains for the appropriate functions. the quartus ii compiler creates carry chains longer than 16 (8 alms in arithmetic or shared arithmetic mode) by linking labs together automatically. for enhanced fitting, a long carry chain runs vertically allowing fast horizontal connec tions to trimatrix memory and dsp blocks. a carry chain can contin ue as far as a full column. to avoid routing congestion in one sm all area of the device when a high fan-in arithmetic function is implemented, the lab can support carry chains that only utilize either the top half or the bottom half of the lab before connecting to the next lab. this leaves the other half of the alms in the lab available for implementing narrower fan-in functions in normal mode. carry chains that use the top four alms in the first lab carry into the top half of the alms in the next lab within the column. carry chains that use the bottom four alms in the first lab carry into the bottom half of the alms in the next lab within the column. every other column of labs is top-half bypassab le, while the other lab columns are bottom-half bypassable. see the ?multitrack interconnect? on page 2?22 section for more information on carry chain interconnect. shared arithmetic mode in shared arithmetic mode, the alm can implement a three-input add. in this mode, the alm is configured with four 4-input luts. each lut either computes the sum of three inpu ts or the carry of three inputs. the output of the carry computation is fed to the next adder (either to adder1 in the same alm or to adder0 of the next alm in the lab) via a dedicated connection called the shared arithmetic chain. this shared arithmetic chain can significantly im prove the performance of an adder tree by reducing the number of summ ation stages required to implement an adder tree. figure 2?13 shows the alm in shared arithmetic mode.
2?18 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules figure 2?13. alm in shared arithmetic mode note to figure 2?13 : (1) inputs dataf0 and dataf1 are available for register pack ing in shared arithmetic mode. adder trees can be found in many diff erent applications. for example, the summation of the partial products in a logic-based multiplier can be implemented in a tree structure. another example is a corr elator function that can use a large adder tree to sum filtered data samples in a given time frame to recover or to de-spread data which was transmitted utilizing spread spectrum technology. an example of a three-bit add operation utilizing the shared arithmetic mode is shown in figure 2?14 . the partial sum (s[2..0]) and the partial carry (c[2..0]) is obtained using the luts, while the result (r[2..0]) is computed using the dedicated adders. datae0 carry_in shared_arith_in shared_arith_out carry_out dataa datab datac datad datae1 dq dq to general or local routing to general or local routing reg0 reg1 to general or local routing to general or local routing 4-input lut 4-input lut 4-input lut 4-input lut
altera corporation 2?19 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?14. example of a 3-bit add utilizing shared arithmetic mode shared arithmetic chain in addition to the dedicated carry chai n routing, the shared arithmetic chain available in shared arithmetic mode allows the alm to implement a three-input add. this significantly reduces the resources necessary to implement large adder trees or correlator functions. the shared arithmetic chains can begin in either the first or fifth alm in an lab. the quartus ii compiler create s shared arithmetic chains longer than 16 (8 alms in arithmetic or sh ared arithmetic mode) by linking labs together automatically. for e nhanced fitting, a long shared carry_in = '0' shared_arith_in = '0' z0 y0 x0 binary add decimal equivalents + z1 x1 r0 c0 s0 s1 s2 c1 c2 '0' r1 y1 3-input lut 3-input lut 3-input lut 3-input lut z2 y2 x2 r2 r3 3-input lut 3-input lut 3-input lut 3-input lut alm 1 3-bit add example alm implementation alm 2 x2 x1 x0 y2 y1 y0 z2 z1 z0 s2 s1 s0 c2 c1 c0 r3 r2 r1 r0 + + 1 1 0 1 0 1 0 1 0 0 0 1 1 1 0 1 1 0 1 + + 6 5 2 1 2 x 6 13 + 2nd stage add is implemented in adders. 1st stage add is implemented in luts.
2?20 altera corporation stratix ii device handbook, volume 1 may 2007 adaptive logic modules arithmetic chain runs vertically allo wing fast horizontal connections to trimatrix memory and dsp blocks. a shared arithmetic chain can continue as far as a full column. similar to the carry chains, the shared arithmetic chains are also top- or bottom-half bypassable. th is capability allows the shared arithmetic chain to cascade through half of the alms in a lab while leaving the other half available for narrower fan-in functionality. every other lab column is top-half bypassable, wh ile the other lab columns are bottom- half bypassable. see the ?multitrack interconnect? on page 2?22 section for more information on shared arit hmetic chain interconnect. register chain in addition to the general routing ou tputs, the alms in an lab have register chain outputs. the register chain routing allows registers in the same lab to be cascaded together. th e register chain interconnect allows an lab to use luts for a single combinational function and the registers to be used for an unrelated shift re gister implementation. these resources speed up connections between alms while saving local interconnect resources (see figure 2?15 ). the quartus ii compil er automatically takes advantage of these resources to im prove utilization and performance.
altera corporation 2?21 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?15. register c hain within an lab note (1) note to figure 2?15 : (1) the combinational or adder logic can be utilized to implement an unrelated, un-registered function. see the ?multitrack interconnect? on page 2?22 section for more information on register chain interconnect. dq to general or local routing reg0 to general or local routing reg_chain_in adder0 dq to general or local routing reg1 to general or local routing adder1 dq to general or local routing reg0 to general or local routing reg_chain_out adder0 dq to general or local routing reg1 to general or local routing adder1 from previous alm within the lab to next alm within the lab combinational logic combinational logic
2?22 altera corporation stratix ii device handbook, volume 1 may 2007 multitrack interconnect clear & preset logic control lab-wide signals control the logic for the register's clear and load/preset signals. the alm directly supports an asynchronous clear and preset function. the register preset is achi eved through the asynchronous load of a logic high. the direct asynchro nous preset does not require a not- gate push-back technique. stratix ii devices support simultaneous asynchronous load/preset, and clea r signals. an asynchronous clear signal takes precedence if both signals are asserted simultaneously. each lab supports up to two clears and one load/preset signal. in addition to the clear and load/pre set ports, stratix ii devices provide a device-wide reset pin ( dev_clrn ) that resets all registers in the device. an option set before compilation in the quartus ii software controls this pin. this device-wide reset overrides all other control signals. multitrack interconnect in the stratix ii architecture, co nnections between alms, trimatrix memory, dsp blocks, and device i/o pi ns are provided by the multitrack interconnect structur e with directdrive tm technology. the multitrack interconnect consists of continuous , performance-optimi zed routing lines of different lengths and speeds used for inter- and intra-design block connectivity. the quartus ii compiler au tomatically places critical design paths on faster interconnects to improve design performance. directdrive technology is a deterministic routing technology that ensures identical routing resource usage for any function regardless of placement in the device. the multitrack interconnect and directdrive technology simplify the integration stage of bloc k-based designing by eliminating the re-optimization cycles that typi cally follow desi gn changes and additions. the multitrack interconnect consists of row and column interconnects that span fixed distances. a routing structure with fixed length resources for all devices allows predictable and repeatable performance when migrating through different device densities. dedicated row interconnects route signals to and from labs, dsp blocks, and trimatrix memory in the same row. these row resources include: direct link interconnects between labs and adjacent blocks r4 interconnects traversing fo ur blocks to the right or left r24 row interconnects for high-speed access across the length of the device
altera corporation 2?23 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the direct link interconnect allows an lab, dsp block, or trimatrix memory block to drive into the local in terconnect of its left and right neighbors and then back into itself. this provides fast communication between adjacent labs and/or bloc ks without using row interconnect resources. the r4 interconnects span four labs, three labs and one m512 ram block, two labs and one m4k ram block, or two labs and one dsp block to the right or left of a source lab. these resources are used for fast row connections in a four-lab region . every lab has its own set of r4 interconnects to drive either left or right. figure 2?16 shows r4 interconnect connections from an lab. r4 interconnects can drive and be driven by dsp blocks and ram blocks and row ioes. for lab interfacing, a primary lab or lab neighbor can drive a given r4 interconnect. for r4 interconnects th at drive to the right, the primary lab and right neighbor can drive on to the interconnect. for r4 interconnects that drive to the left, the primary lab and its left neighbor can drive on to the interconnect. r4 interconnects can drive other r4 interconnects to extend the range of labs they can drive. r4 interconnects can also drive c4 an d c16 interconnects for connections from one row to another. additional ly, r4 interconnects can drive r24 interconnects. figure 2?16. r4 interconnect connections notes (1) , (2) , (3) notes to figure 2?16 : (1) c4 and c16 interconnects can drive r4 interconnects. (2) this pattern is repeated for every lab in the lab row. (3) the labs in figure 2?16 show the 16 possible logical outputs per lab. primary lab (2) r4 interconnect driving left adjacent lab can drive onto another lab's r4 interconnect c4 and c16 column interconnects (1) r4 interconnect driving right lab neighbor lab neighbor
2?24 altera corporation stratix ii device handbook, volume 1 may 2007 multitrack interconnect r24 row interconnects span 24 labs and provide the fastest resource for long row connections between labs, trimatrix memory, dsp blocks, and row ioes. the r24 row interconnects can cross m-ram blocks. r24 row interconnects drive to other row or column interconnects at every fourth lab and do not drive directly to lab local interconnects. r24 row interconnects drive lab local interconnects via r4 and c4 interconnects. r24 interconnects can drive r24, r4, c16, and c4 interconnects. the column interconnect operates si milarly to the row interconnect and vertically routes signals to and from labs, trimatrix memory, dsp blocks, and ioes. each column of labs is served by a dedicated column interconnect. these column resources include: shared arithmetic chain interconnects in an lab carry chain interconnects in an lab and from lab to lab register chain interconnects in an lab c4 interconnects traversing a distance of four blocks in up and down direction c16 column interconnects for high -speed vertical routing through the device stratix ii devices include an enhanced interconnect structure in labs for routing shared arithmetic chains and carry chains for efficient arithmetic functions. the register chain connection allows the register output of one alm to connect directly to the regist er input of the next alm in the lab for fast shift registers. these alm to alm connections bypass the local interconnect. the quartus ii compiler automatically takes advantage of these resources to improve utilization and performance. figure 2?17 shows the shared arithmetic chain, carry chain and register chain interconnects.
altera corporation 2?25 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?17. shared arithmetic chain, carry chain & register chain interconnects the c4 interconnects span four labs , m512, or m4k blocks up or down from a source lab. every lab has its own set of c4 interconnects to drive either up or down. figure 2?18 shows the c4 interc onnect connections from an lab in a column. the c4 interconnects can drive and be driven by all types of architecture bloc ks, including dsp blocks, trimatrix memory blocks, and column and row ioes. for lab interconnection, a primary lab or its lab neighbor can drive a given c4 interconnect. c4 interconnects can drive each other to extend their range as well as drive row interconnects for colu mn-to-column connections. alm 1 alm 2 alm 3 alm 4 alm 5 alm 6 alm 8 alm 7 carry chain & shared arithmetic chain routing to adjacent alm local interconnect register chain routing to adjacent alm's register inpu local interconnect routing among alms in the lab
2?26 altera corporation stratix ii device handbook, volume 1 may 2007 multitrack interconnect figure 2?18. c4 inte rconnect connections note (1) note to figure 2?18 : (1) each c4 interconnect can drive either up or down four rows. c4 interconnect drives local and r4 interconnects up to four rows adjacent lab can drive onto neighboring lab's c4 interconnect c4 interconnect driving up c4 interconnect driving down lab row interconnect local interconnect
altera corporation 2?27 may 2007 stratix ii device handbook, volume 1 stratix ii architecture c16 column interconnects span a length of 16 labs and provide the fastest resource for long column connections between labs, trimatrix memory blocks, dsp blocks, and ioes. c16 interconnects can cross m-ram blocks and also drive to row and column interconnects at every fourth lab. c16 interconnects drive lab local interconnects via c4 and r4 interconnects and do not drive lab local interconnects directly. all embedded blocks communicate with the logic array similar to lab- to-lab interfaces. each block (that is, trimatrix memory and dsp blocks) connects to row and column interconnects and has local interconnect regions driven by row and column interconnects. these blocks also have direct link interconnects for fast co nnections to and from a neighboring lab. all blocks are fed by the row lab clocks, labclk[5..0] . table 2?2 shows the stratix ii device?s routing scheme. table 2?2. stratix ii device routing scheme (part 1 of 2) source destination shared arithmetic chain carry chain register chain local interconnect direct link interconnect r4 interconnect r24 interconnect c4 interconnect c16 interconnect alm m512 ram block m4k ram block m-ram block dsp blocks column ioe row ioe shared arithmetic chain v carry chain v register chain v local interconnect vvvvvvv direct link interconnect v r4 interconnect v vvvv r24 interconnect vvvv c4 interconnect vvv c16 interconnect vvvv alm vvvvvv v m512 ram block vvv v m4k ram block vvv v m-ram block vvvv dsp blocks vv v
2?28 altera corporation stratix ii device handbook, volume 1 may 2007 trimatrix memory trimatrix memory trimatrix memory consists of three types of ram blocks: m512, m4k, and m-ram. although thes e memory blocks are different, they can all implement various types of memory with or without parity, including true dual-port, simple dual-port, and single-port ram, rom, and fifo buffers. table 2?3 shows the size and features of the different ram blocks. column ioe vvv row ioe vvvv table 2?2. stratix ii device routing scheme (part 2 of 2) source destination shared arithmetic chain carry chain register chain local interconnect direct link interconnect r4 interconnect r24 interconnect c4 interconnect c16 interconnect alm m512 ram block m4k ram block m-ram block dsp blocks column ioe row ioe table 2?3. trimatrix memory features (part 1 of 2) memory feature m512 ram block (32 18 bits) m4k ram block (128 36 bits) m-ram block (4k 144 bits) maximum performance 500 mhz 550 mhz 420 mhz true dual-port memory vv simple dual-port memory vvv single-port memory vvv shift register vv rom vv (1) fifo buffer vvv pack mode vv byte enable vvv address clock enable vv parity bits vvv mixed clock mode vvv memory initialization ( .mif ) vv
altera corporation 2?29 may 2007 stratix ii device handbook, volume 1 stratix ii architecture memory block size trimatrix memory provides three different memory sizes for efficient application support. the quartus ii soft ware automatically partitions the user-defined memory into the embedded memory blocks using the most efficient size combinations. you can also manually assign the memory to a specific block size or a mixture of block sizes. when applied to input registers, the asynchronous clear signal for the trimatrix embedded memory immediately clears the input registers. however, the output of the memory block does not show the effects until the next clock edge. when applied to output registers, the asynchronous clear signal clears the output registers and the effects are seen immediately. simple dual-port memory mixed width support vvv true dual-port memory mixed width support vv power-up conditions outputs cleared outputs cleared outputs unknown register clears output registers output registers output registers mixed-port read-during-write unknown output/old data unknown output/old data unknown output configurations 512 1 256 2 128 4 64 8 64 9 32 16 32 18 4k 1 2k 2 1k 4 512 8 512 9 256 16 256 18 128 32 128 36 64k 8 64k 9 32k 16 32k 18 16k 32 16k 36 8k 64 8k 72 4k 128 4k 144 notes to ta b l e 2 ? 3 : (1) the m-ram block does not support memory initiali zations. however, the m-ram block can emulate a rom function using a dual-port ram bock. the stratix ii devi ce must write to the dual-port memory once and then disable the write-enable ports afterwards. table 2?3. trimatrix memory features (part 2 of 2) memory feature m512 ram block (32 18 bits) m4k ram block (128 36 bits) m-ram block (4k 144 bits)
2?30 altera corporation stratix ii device handbook, volume 1 may 2007 trimatrix memory m512 ram block the m512 ram block is a simple dual-port memory block and is useful for implementing small fifo buffers, dsp, and clock domain transfer applications. each block contains 576 ram bits (includin g parity bits). m512 ram blocks can be configured in the following modes: simple dual-port ram single-port ram fifo rom shift register 1 violating the setup or hold time on the memory block address registers could corrupt memory contents. this applies to both read and write operations. when configured as ram or rom, you can use an initialization file to pre-load the memory contents. m512 ram blocks can have different cl ocks on its inputs and outputs. the wren, datain, and write address registers are all clocked together from one of the two clocks feeding th e block. the read address, rden, and output registers can be clocked by ei ther of the two cl ocks driving the block. this allows the ram block to operate in read/write or input/output clock modes. only the ou tput register can be bypassed. the six labclk signals or local interconnect can drive the inclock , outclock , wren , rden , and outclr signals. because of the advanced interconnect between the lab and m512 ram blocks, alms can also control the wren and rden signals and the ram clock, clock enable, and asynchronous clear signals. figure 2?19 shows the m512 ram block control signal generation logic. the ram blocks in stratix ii devices have local interconnects to allow alms and interconnects to drive into ram blocks. the m512 ram block local interconnect is driven by the r4, c4, and direct link interconnects from adjacent labs. the m512 ram bl ocks can communicate with labs on either the left or right side through these row interconnects or with lab columns on the left or right side with the column interconnects. the m512 ram block has up to 16 direct li nk input connections from the left adjacent labs and another 16 from the right adjacent lab. m512 ram outputs can also connect to left an d right labs through direct link interconnect. the m512 ram block has equal opportunity for access and performance to and from labs on either its left or right side. figure 2?20 shows the m512 ram block to logic array interface.
altera corporation 2?31 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?19. m512 ram block control signals inclocken outclock inclock outclocken rden wren dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect outclr 6 local interconnect local interconnect
2?32 altera corporation stratix ii device handbook, volume 1 may 2007 trimatrix memory figure 2?20. m512 ram block lab row interface m4k ram blocks the m4k ram block includes support for true dual-port ram. the m4k ram block is used to implement buffer s for a wide variety of applications such as storing processor code, im plementing lookup schemes, and implementing larger memory applic ations. each block contains 4,608 ram bits (including parity bits). m4 k ram blocks can be configured in the following modes: true dual-port ram simple dual-port ram single-port ram fifo rom shift register when configured as ram or rom, you can use an initialization file to pre-load the memory contents. dataout m512 ram block datain clocks 16 direct link interconnect from adjacent lab direct link interconnect to adjacent lab direct link interconnect from adjacent lab direct link interconnect to adjacent lab m512 ram block local interconnect region c4 interconnect r4 interconnect control signals address lab row clocks 2 6
altera corporation 2?33 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the m4k ram blocks allow for differ ent clocks on their inputs and outputs. either of the two clocks feeding the block can clock m4k ram block registers (renwe, address, byte enable, datain, and output registers). only the output register can be bypassed. the six labclk signals or local interconnects can drive the control signals for the a and b ports of the m4k ram block. alms can also control the clock_a , clock_b , renwe_a , renwe_b , clr_a , clr_b , clocken_a , and clocken_b signals, as shown in figure 2?21 . the r4, c4, and direct link interconnects from adjacent labs drive the m4k ram block local interconnect. the m4k ram blocks can communicate with labs on either the left or righ t side through these row resources or with lab columns on either the right or left with the column resources. up to 16 direct link input connections to the m4k ram block are possible from the left adjacent labs and another 16 possible from the right adjacent lab. m4k ram block outputs can also connect to left and right labs through direct link interconnect. figure 2?22 shows the m4k ram block to logic array interface. figure 2?21. m4k ram bl ock control signals clock_b clocken_a clock_a clocken_b aclr_b aclr_a dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect renwe_b renwe_a 6
2?34 altera corporation stratix ii device handbook, volume 1 may 2007 trimatrix memory figure 2?22. m4k ram block lab row interface m-ram block the largest trimatrix memory block, the m-ram block, is useful for applications where a large volume of data must be stored on-chip. each block contains 589,824 ram bits (inc luding parity bits). the m-ram block can be configured in the following modes: true dual-port ram simple dual-port ram single-port ram fifo you cannot use an initialization file to initialize the contents of an m-ram block. all m-ram block contents powe r up to an undefined value. only synchronous operation is supported in the m-ram block, so all inputs are registered. output registers can be bypassed. dataout m4k ram block datain address 16 36 direct link interconnect from adjacent lab direct link interconnect to adjacent lab direct link interconnect from adjacent lab direct link interconnect to adjacent lab m4k ram block local interconnect region c4 interconnect r4 interconnect lab row clocks clocks byte enable control signals 6
altera corporation 2?35 may 2007 stratix ii device handbook, volume 1 stratix ii architecture similar to all ram blocks, m-ram bloc ks can have different clocks on their inputs and outputs. either of the two clocks feeding the block can clock m-ram block registers (renwe, address, byte enable, datain, and output registers). the output re gister can be bypassed. the six labclk signals or local interconnect can drive the control signals for the a and b ports of the m-ram block. alms can also control the clock_a , clock_b , renwe_a , renwe_b , clr_a , clr_b , clocken_a , and clocken_b signals as shown in figure 2?23 . figure 2?23. m-ram block control signals the r4, r24, c4, and direct link interconnects from adjacent labs on either the right or left side drive the m-ram block local interconnect. up to 16 direct link input connections to the m-ram block are possible from the left adjacent labs and another 16 possible from the right adjacent lab. m-ram block outputs can also connect to left and right labs through direct link interconnect. figure 2?24 shows an example floorplan for the ep2s130 device and the loca tion of the m-ram interfaces. figures 2?25 and 2?26 show the interface between the m-ram block and the logic array. clock_a clock_b clocken_a clocken_b aclr_a aclr_b dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect renwe_a renwe_b 6 local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect
2?36 altera corporation stratix ii device handbook, volume 1 may 2007 trimatrix memory figure 2?24. ep2s130 device with m-ram interface locations note (1) note to figure 2?24 : (1) the device shown is an ep2s130 device. the number and position of m-ram bloc ks varies in other devices. dsp blocks dsp blocks m4k blocks m512 blocks labs m-ram block m-ram block m-ram block m-ram block m-ram block m-ram block m-ram blocks interface to labs on right and left sides for easy access to horizontal i/o pins
altera corporation 2?37 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?25. m-ram block lab row interface note (1) note to figure 2?25 : (1) only r24 and c16 interconnects cross the m-ram block boundaries. m-ram block port b port a row unit interface allows lab rows to drive port b datain, dataout, address and control signals to and from m-ram block row unit interface allows lab rows to drive port a datain, dataout, address and control signals to and from m-ram block labs in row m-ram boundary labs in row m-ram boundary lab interface blocks l0 l1 l2 l3 l4 l5 r0 r1 r2 r3 r4 r5
2?38 altera corporation stratix ii device handbook, volume 1 may 2007 trimatrix memory figure 2?26. m-ram row unit interface to interconnect table 2?4 shows the input and output data signal connections along with the address and control signal input co nnections to the ro w unit interfaces (l0 to l5 and r0 to r5). lab row interface block m-ram block 16 up to 28 datain_a[ ] addressa[ ] addr_ena_a renwe_a byteena a [ ] clocken_a clock_a aclr_a m-ram block to lab row interface block interconnect region r4 and r24 interconnects c4 interconnect direct link interconnects dataout_a[ ] up to 16
altera corporation 2?39 may 2007 stratix ii device handbook, volume 1 stratix ii architecture f see the trimatrix embedded memory blocks in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook for more information on trimatrix memory. table 2?4. m-ram row interface unit signals unit interface block input signals output signals l0 datain_a[14..0] byteena_a[1..0] dataout_a[11..0] l1 datain_a[29..15] byteena_a[3..2] dataout_a[23..12] l2 datain_a[35..30] addressa[4..0] addr_ena_a clock_a clocken_a renwe_a aclr_a dataout_a[35..24] l3 addressa[15..5] datain_a[41..36] dataout_a[47..36] l4 datain_a[56..42] byteena_a[5..4] dataout_a[59..48] l5 datain_a[71..57] byteena_a[7..6] dataout_a[71..60] r0 datain_b[14..0] byteena_b[1..0] dataout_b[11..0] r1 datain_b[29..15] byteena_b[3..2] dataout_b[23..12] r2 datain_b[35..30] addressb[4..0] addr_ena_b clock_b clocken_b renwe_b aclr_b dataout_b[35..24] r3 addressb[15..5] datain_b[41..36] dataout_b[47..36] r4 datain_b[56..42] byteena_b[5..4] dataout_b[59..48] r5 datain_b[71..57] byteena_b[7..6] dataout_b[71..60]
2?40 altera corporation stratix ii device handbook, volume 1 may 2007 digital signal processing block digital signal processing block the most commonly used dsp functions are fir filters, complex fir filters, iir filters, fast fourier tr ansform (fft) functions, direct cosine transform (dct) functions, and correlators. all of these use the multiplier as the fundamental building block. ad ditionally, some applications need specialized operations such as mul tiply-add and multiply-accumulate operations. stratix ii devices provide dsp blocks to meet the arithmetic requirements of these functions. each stratix ii device has from two to four columns of dsp blocks to efficiently implement dsp functions faster than alm-based implementations. stratix i i devices have up to 24 dsp blocks per column (see table 2?5 ). each dsp block can be configured to support up to: eight 9 9-bit multipliers four 18 18-bit multipliers one 36 36-bit multiplier as indicated, the stratix ii dsp block can support one 36 36-bit multiplier in a single dsp block. th is is true for any combination of signed, unsigned, or mixed sign multiplications. 1 this list only shows functions that can fit into a single dsp block. multiple dsp blocks can support larger multiplication functions.
altera corporation 2?41 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?27 shows one of the columns with surrounding lab rows. figure 2?27. dsp blocks arranged in columns dsp block column 4 lab rows dsp block
2?42 altera corporation stratix ii device handbook, volume 1 may 2007 digital signal processing block table 2?5 shows the number of dsp bloc ks in each stratix ii device. dsp block multipliers can optionally feed an adder/subtractor or accumulator in the block depending on the configuration. this makes routing to alms easier, saves alm routing resources, and increases performance, because all connections and blocks are in the dsp block. additionally, the dsp block input regi sters can efficiently implement shift registers for fir filter applications, and dsp blocks support q1.15 format rounding and saturation. figure 2?28 shows the top-level diagram of the dsp block configured for 18 18-bit multiplier mode. table 2?5. dsp blocks in stratix ii devices note (1) device dsp blocks total 9 9 multipliers total 18 18 multipliers total 36 36 multipliers ep2s15 12 96 48 12 ep2s30 16 128 64 16 ep2s60 36 288 144 36 ep2s90 48 384 192 48 ep2s130 63 504 252 63 ep2s180 96 768 384 96 note to ta b l e 2 ? 5 : (1) each device has either the numbers of 9 9-, 18 18-, or 36 36-bit multipliers shown. the total number of multipliers for each device is not the sum of all the multipliers.
altera corporation 2?43 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?28. dsp block diagram fo r 18 18-bit configuration adder/ subtractor/ accumulator 1 adder multiplier block prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena prn clrn dq ena summation block adder output block adder/ subtractor/ accumulator 2 q1.15 round/ saturate q1.15 round/ saturate q1.15 round/ saturate q1.15 round/ saturate to multitrack interconnect clrn dq ena from the row interface block optional serial shift register inputs from previous dsp block optional serial shift register outputs to next dsp block in the column optional input register stage with parallel input or shift register configuration optional pipline register stage summation stage for adding four multipliers together optional stage configurable as accumulator or dynamic adder/subtractor output selection multiplexer q1.15 round/ saturate q1.15 round/ saturate
2?44 altera corporation stratix ii device handbook, volume 1 may 2007 digital signal processing block modes of operation the adder, subtractor, and accumulate functions of a dsp block have four modes of operation: simple multiplier multiply-accumulator two-multipliers adder four-multipliers adder table 2?6 shows the different number of multipliers possible in each dsp block mode according to size. thes e modes allow the dsp blocks to implement numerous applications for dsp including ffts, complex fir, fir, and 2d fir filters, equalizers, iir, correlators, matrix multiplication and many other functions. the dsp blocks also support mixed modes and mixed multiplier sizes in the same block. for example, half of one dsp block can implement one 18 18-bit multiplier in multiply- accumulator mode, while the other half of the dsp block implements four 9 9-bit multipliers in simple multiplier mode. dsp block interface stratix ii device dsp block input register s can generate a shift register that can cascade down in the same dsp block column. dedicated connections between dsp blocks provide fast connections between the shift register inputs to cascade the shift register chains. you can cascade registers within multiple dsp blocks for 9 9- or 18 18-bit fir filters larger than four taps, with additional adder stag es implemented in alms. if the dsp block is configured as 36 36 bits, th e adder, subtractor, or accumulator stages are implemented in alms. each dsp block can route the shift register chain out of the block to ca scade multiple columns of dsp blocks. table 2?6. multiplier size & c onfigurations per dsp block dsp block mode 9 9 18 18 36 36 multiplier eight multipliers with eight product outputs four multipliers with four product outputs one multiplier with one product output multiply-accumulator - two 52-bit multiply- accumulate blocks - two-multipliers adder four two-multiplier adder (two 9 9 complex multiply) two two-multiplier adder (one 18 18 complex multiply) - four-multipliers adder two four-multi plier adder one four-multiplier adder -
altera corporation 2?45 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the dsp block is divided into four bl ock units that interface with four lab rows on the left and right. each block unit can be considered one complete 18 18-bit multiplier with 36 inputs and 36 outputs. a local interconnect region is associated with each dsp block. like an lab, this interconnect region can be fed with 16 direct link interconnects from the lab to the left or right of the dsp block in the same row. r4 and c4 routing resources can access the dsp block's local interconnect region. the outputs also work si milarly to lab outputs as well. eighteen outputs from the dsp block can drive to th e left lab through direct link interconnects and eighteen can drive to the right lab though direct link interconnects. all 36 outputs can drive to r4 and c4 routing interconnects. outputs can drive right- or left-column routing. figures 2?29 and 2?30 show the dsp block interfaces to lab rows. figure 2?29. dsp block interconnect interface a1[17..0] b1[17..0] a2[17..0] b2[17..0] a3[17..0] b3[17..0] a4[17..0] b4[17..0] oa[17..0] ob[17..0] oc[17..0] od[17..0] oe[17..0] of[17..0] og[17..0] oh[17..0] dsp block r4, c4 & direct link interconnects r4, c4 & direct link interconnects
2?46 altera corporation stratix ii device handbook, volume 1 may 2007 digital signal processing block figure 2?30. dsp block interface to interconnect a bus of 44 control signals feeds the entire dsp block. these signals include clocks, asynchronous clears, clock enables, signed/unsigned control signals, addition and subtraction control signals, rounding and saturation control signals, and accumulator synchronous loads. the clock signals are routed from lab row cloc ks and are generated from specific lab rows at the dsp block interface. lab lab row interface block dsp block row structure 16 oa[17..0] ob[17..0] a[17..0] b[17..0] dsp block to lab row interface block interconnect region 36 inputs per row 36 outputs per row r4 interconnect c4 interconnect direct link interconnect from adjacent lab direct link outputs to adjacent labs direct link interconnect from adjacent lab 36 36 36 36 control 12 16 18
altera corporation 2?47 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the lab row source for control signals, data inputs, and outputs is shown in table 2?7 . f see the dsp blocks in stratix i i & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook, for more information on dsp blocks. table 2?7. dsp block signal sources & destinations lab row at interface control signals generated data inputs data outputs 0clock0 aclr0 ena0 mult01_saturate addnsub1_round/ accum_round addnsub1 signa sourcea sourceb a1[17..0] b1[17..0] oa[17..0] ob[17..0] 1clock1 aclr1 ena1 accum_saturate mult01_round accum_sload sourcea sourceb mode0 a2[17..0] b2[17..0] oc[17..0] od[17..0] 2clock2 aclr2 ena2 mult23_saturate addnsub3_round/ accum_round addnsub3 sign_b sourcea sourceb a3[17..0] b3[17..0] oe[17..0] of[17..0] 3clock3 aclr3 ena3 accum_saturate mult23_round accum_sload sourcea sourceb mode1 a4[17..0] b4[17..0] og[17..0] oh[17..0]
2?48 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks plls & clock networks stratix ii devices provide a hierarchical clock structure and multiple plls with advanced features. the large number of clocking resources in combination with the clock synthesi s precision provid ed by enhanced and fast plls provides a comple te clock management solution. global & hierarchical clocking stratix ii devices provide 16 dedicat ed global clock networks and 32 regional clock networks (eight per device quadrant). these clocks are organized into a hierarch ical clock structure that allows for up to 24 clocks per device region with low skew and delay. this hierarchical clocking scheme provides up to 48 un ique clock domains in stratix ii devices. there are 16 dedicated clock pins ( clk[15..0] ) to drive either the global or regional clock networks . four clock pins drive each side of the device, as shown in figures 2?31 and 2?32 . internal logic and enhanced and fast pll outputs can also driv e the global and regional clock networks. each global and regional clock has a cloc k control block, which controls the selection of the clock source and dynamically enables/disables the clock to reduce power consumption. table 2?8 shows global and regional clock features. global clock network these clocks drive throughout the entire device, feeding all device quadrants. the global clock networks can be used as clock sources for all resources in the device-ioes, alms, dsp blocks, and all memory blocks. these resources can also be used for control signals, such as clock enables and synchronous or asynch ronous clears fed from the external pin. the table 2?8. global & regional clock features feature global clocks regional clocks number per device 16 32 number available per quadrant 16 8 sources clk pins, pll outputs, or internal logic clk pins, pll outputs, or internal logic dynamic clock source selection v (1) dynamic enable/disable vv note to ta b l e 2 ? 8 : (1) dynamic source clock selection is supported for selecting between clkp pins and pll outputs only.
altera corporation 2?49 may 2007 stratix ii device handbook, volume 1 stratix ii architecture global clock networks can also be driv en by internal logic for internally generated global clocks and asynchrono us clears, clock enables, or other control signals with large fanout. figure 2?31 shows the 16 dedicated clk pins driving global clock networks. figure 2?31. global clocking regional clock network there are eight regional clock networks rclk[7..0] in each quadrant of the stratix ii device that are driven by the dedicated clk[15..0] input pins, by pll outputs, or by internal logic. the regional clock networks provide the lowest clock delay and skew for logic contained in a single quadrant. the clk clock pins symmetrically drive the rclk networks in a particular quadra nt, as shown in figure 2?32 . global clock [15..0] clk[15..12] clk[3..0] clk[7..4] clk[11..8] global clock [15..0]
2?50 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks figure 2?32. regional clocks dual-regional clock network a single source ( clk pin or pll output) can generate a dual-regional clock by driving two regi onal clock network lines in adjacent quadrants (one from each quadrant). this allows logic that spans multiple quadrants to utilize the same low skew clock. the routing of this clock signal on an entire side has approximately the same speed but slightly higher clock skew when compared with a clock signal that drives a single quadrant. internal logic-array routing can also drive a dual-regional clock. clock pins and enhanced pl l outputs on the top and bottom can drive horizontal dual-regional clocks. clock pins and fast pll outputs on the left and right can drive vertical dual-regional clocks, as shown in figure 2?33 . corner plls cannot drive dual-regional clocks. rclk[3..0] rclk[7..4] rclk[11..8] rclk[15..12] rclk[31..28] rclk[27..24] rclk[19..16] rclk[23..20] clk[15..12] clk[3..0] clk[7..4] clk[11..8] regional clocks only drive a device quadrant from specified clk pins, plls or core logic within that quadrant
altera corporation 2?51 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?33. dual-regional clocks combined resources within each quadrant, there are 24 distinct dedicated clocking resources consisting of 16 global clock line s and eight regional clock lines. multiplexers are used with these cl ocks to form busses to drive lab row clocks, column ioe clocks, or row ioe clocks. another multiplexer is used at the lab level to select three of the six row clocks to feed the alm registers in the lab (see figure 2?34 ). figure 2?34. hierarchical clock networks per quadrant clock pins or pll clock outputs can drive dual-regional network clk[15..12] clk[11..8] clk[7..4] clk[3..0] plls plls clock pins or pll clock outputs can drive dual-regional network clk[15..12] clk[11..8] clk[7..4] clk[3..0] clock [23..0] column i/o cell io_clk[7..0] lab row clock [5..0] row i/o cell io_clk[7..0] global clock network [15..0] regional clock network [7..0] clocks available to a quadrant or half-quadrant
2?52 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks ioe clocks have row and column bloc k regions that are clocked by eight i/o clock signals chosen from th e 24 quadrant clock resources. figures 2?35 and 2?36 show the quadrant relati onship to the i/o clock regions. figure 2?35. ep2s15 & ep2s30 device i/o clock groups io_clkc[7:0] io_clkf[7:0] io_clke[7:0] io_clka[7:0] io_clkb[7:0] io_clkd[7:0] io_clkh[7:0] io_clkg[7:0] 8 8 24 clocks in the quadrant 24 clocks in the quadrant 24 clocks in the quadrant 24 clocks in the quadrant 8 8 8 8 8 8 i/o clock regions
altera corporation 2?53 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?36. ep2s60, ep2s90, ep2s130 & ep2s180 device i/o clock groups you can use the quartus ii software to control whether a clock input pin drives either a global, regional, or dual-regional clock network. the quartus ii software automatically sele cts the clocking resources if not specified. clock control block each global clock, regional clock, and pll external cl ock output has its own clock control block. the co ntrol block has two functions: clock source selection (dynamic selection for global clocks) clock power-down (dynamic clock enable/disable) io_clkj[7:0] io_clki[7:0] io_clka[7:0] io_clkb[7:0] 8 24 clocks in the quadrant 24 clocks in the quadrant 24 clocks in the quadrant 24 clocks in the quadrant 8 8 8 i/o clock regions io_clkl[7:0] io_clkk[7:0] io_clkc[7:0] io_clkd[7:0] 888 8 8 8 8 8 8 8 8 8 io_clke[7:0] io_clkf[7:0] io_clkg[7:0] io_clkh[7:0] io_clkn[7:0] io_clkm[7:0] io_clkp[7:0] io_clko[7:0]
2?54 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks 1 when using the global or regi onal clock control blocks in stratix ii devices to select between multiple clocks or to enable and disable clock networks, be aw are of possible narrow pulses or glitches when switching from one clock signal to another. a glitch or runt pulse has a width th at is less than the width of the highest frequency input clock si gnal. to prevent logic errors within the fpga, altera recomm ends that you build circuits that filter out glitches and runt pulses. figures 2?37 through 2?39 show the clock control block for the global clock, regional clock, and pll ex ternal clock outp ut, respectively. figure 2?37. global clock control blocks notes to figure 2?37 : (1) these clock select signals can be dynamically controlled through internal logic when the device is operating in user mode. (2) these clock select signals can only be set through a configuration file ( .sof or .pof ) and cannot be dynamically controlled during user mode operation. clkp pins pll counter outputs internal logic clkn pin enable/ disable gclk internal logic static clock select this multiplexer supports user-controllable dynamic switching clkselect[1..0] (1) (2) 2 2 2
altera corporation 2?55 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?38. regional clock control blocks notes to figure 2?38 : (1) these clock select signals can only be set through a configuration file ( .sof or .pof ) and cannot be dynamically controlled during user mode operation. (2) only the clkn pins on the top and bottom of the de vice feed to regional clock select blocks.the clock outputs from corner plls cannot be dynamically selected through the global clock control block. (3) the clock outputs from corner plls ca nnot be dynamically selected through the global clock control block. clkp pin pll counter outputs internal logic clkn pin enable/ disable rclk internal logic static clock select (1 ) 2 (2) (3)
2?56 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks figure 2?39. external pll output clock control blocks notes to figure 2?39 : (1) these clock select signals can only be set through a configuration file ( .sof or .pof ) and cannot be dynamically controlled during user mode operation. (2) the clock control block feed s to a multiplexer within the pll_out pin?s ioe. the pll_out pin is a dual-purpose pin. therefore, this multiplexer selects either an internal signal or the output of the clock control block. for the global clock control block, the clock source selection can be controlled either statically or dynami cally. the user has the option of statically selecting the clock source by using the quartus ii software to set specific configuration bits in the configuration file ( .sof or .pof ) or the user can control the selection dynamically by using internal logic to drive the multiplexor select inputs. when selecting statically, the clock source can be set to any of the inputs to th e select multiplexor. when selecting the clock source dynamically, you can either select between two pll outputs (such as the c0 or c1 outputs from one pll), between two plls (such as the c0/c1 clock output of on e pll or the c0/c1 c1ock output of the other pll), between tw o clock pins (such as clk0 or clk1 ), or between a combination of clock pins or pll outputs. the clock outputs from corner plls cannot be dynami cally selected through the global control block. for the regional and pll_out clock control block, the clock source selection can only be controlled statically using configuration bits. any of the inputs to the clock select multiplex or can be set as the clock source. pll counter outputs (c[5..0]) enable/ disable pll_out pin internal logic static clock select ioe (1) static clock select (1) 6 internal logic (2)
altera corporation 2?57 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the stratix ii clock networks can be disabled (powered down) by both static and dynamic approaches. when a clock net is powered down, all the logic fed by the clock net is in an off-state thereby reducing the overall power consumption of the device. the global and regional clock networks can be powered down statically through a setting in the configuration ( .sof or .pof ) file. clock networks that are not used are automatically powered down through configuration bit settings in the configuration file generated by the quartus ii software. the dynamic clock enable/disable fe ature allows the internal logic to control power up/down synchronously on gclk and rclk nets and pll_out pins. this function is independent of the pll and is applied directly on the clock network or pll_out pin, as shown in figures 2?37 through 2?39 . 1 the following restrictions for the input clock pins apply: ? clk0 pin -> inclk[0] of clkctrl ? clk1 pin -> inclk[1] of clkctrl ? clk2 pin -> inclk[0] of clkctrl ? clk3 pin -> inclk[1] of clkctrl in general, even clk numbers connect to the inclk[0] port of clkctrl , and odd clk numbers connect to the inclk[1] port of clkctrl . failure to comply with these restrictions will result in a no-fit error. enhanced & fast plls stratix ii devices provide robust clock management and synthesis using up to four enhanced plls and eigh t fast plls. these plls increase performance and provid e advanced clock interfacing and clock- frequency synthesis. with features such as clock switchover, spread-spectrum clocking, reconfigurab le bandwidth, phase control, and reconfigurable phase shifting, the stratix ii device?s enhanced plls provide you with complete control of clocks and system timing. the fast plls provide general purpose clocki ng with multiplication and phase shifting as well as high-speed outp uts for high-speed differential i/o support. enhanced and fast plls work together with the stratix ii high-speed i/o and advanc ed clock architecture to provide significant improvements in system performance and bandwidth.
2?58 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks the quartus ii software enables the plls and their fe atures without requiring any external devices. table 2?9 shows the plls available for each stratix ii device and their type. table 2?9. stratix ii devi ce pll availability device fast plls enhanced plls 123478910561112 ep2s15 vvvv vv ep2s30 vvvv vv ep2s60 (1) vvvvvvvvvvvv ep2s90 (2) vvvvvvvvvvvv ep2s130 (3) vvvvvvvvvvvv ep2s180 vvvvvvvvvvvv notes to ta b l e 2 ? 9 : (1) ep2s60 devices in the 1020-pin package contain 12 plls. ep2s60 devices in the 484-pin and 672-pin packages contain fast plls 1?4 and enhanced plls 5 and 6. (2) ep2s90 devices in the 1020-pin and 1508-pin packages contain 12 plls. ep2s90 devices in the 484-pin and 780-pin packages contain fast plls 1?4 and enhanced plls 5 and 6. (3) ep2s130 devices in the 1020-pin and 1508-pin packages contain 12plls. the ep2s130 device in the 780-pin package contains fast plls 1?4 and enhanced plls 5 and 6.
altera corporation 2?59 may 2007 stratix ii device handbook, volume 1 stratix ii architecture table 2?10 shows the enhanced pll and fast pll features in stratix ii devices. table 2?10. stratix ii pll features feature enhanced pll fast pll clock multiplication and division m /( n post-scale counter) (1) m /( n post-scale counter) (2) phase shift down to 125-ps increments (3) , (4) down to 125-ps increments (3) , (4) clock switchover vv (5) pll reconfiguration vv reconfigurable bandwidth vv spread spectrum clocking v programmable duty cycle vv number of internal clock outputs 6 4 number of external clock output s three differential/six single-ended (6) number of feedback clock inputs o ne single-ended or differential (7) , ( 8 ) notes to table 2?10 : (1) for enhanced plls, m ranges from 1 to 256, while n and post-scale counters range from 1 to 512 with 50% duty cycle. (2) for fast plls, m , and post-scale counters range from 1 to 32. the n counter ranges from 1 to 4. (3) the smallest phase shif t is determined by the voltage controlle d oscillator (vco) period divided by 8. (4) for degree increments, stratix ii device s can shift all output frequencies in in crements of at least 45. smaller degree increments are possible depending on the frequency and divide parameters. (5) stratix ii fast plls only support manual clock switchover. (6) fast plls can drive to any i/o pin as an external clock. for high-speed differential i/o pins, the device uses a data channel to generate txclkout. (7) if the feedback input is used, you lose one (or two, if fbin is differential) external clock output pin. (8) every stratix ii device has at least two enhanced plls wi th one single-ended or differential external feedback input per pll.
2?60 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks figure 2?40 shows a top-level diagram of the stratix ii device and pll floorplan. figure 2?40. pll locations figures 2?41 and 2?42 shows the global and regi onal clocking from the fast pll outputs and the side clock pins. fpll7clk fpll10clk fpll9clk clk[8..11] fpll8clk clk[3..0] 7 1 2 8 10 4 3 9 5 11 6 12 clk[7..4] clk[15..12] plls
altera corporation 2?61 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?41. global & regional clock c onnections from cent er clock pins & fast pll outputs note (1) notes to figure 2?41 : (1) ep2s15 and ep2s30 devices only have four fast plls (1, 2, 3, and 4), but the connectivity from these four plls to th e global and regional clock networks remains the same as shown. (2) the global or regional clocks in a fast pll's quadrant can drive the fast pll input. the global or regional clock input can be driven by an output from another pll, a pin-driven dedicated global or regional clock, or through a clock control block, provided the clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. c0 c1 c2 c3 fast pll 1 rck0 rck2 rck1 rck3 gck0 gck2 gck9 gck11 gck1 gck3 gck8 gck10 rck4 rck6 rck5 rck7 rck17 rck16 rck18 rck19 rck21 rck23 rck20 rck22 c0 c1 c2 c3 fast pll 2 logic array signal input to clock network clk0 clk1 clk2 clk3 c0 c1 c2 c3 fast pll 4 c0 c1 c2 c3 fast pll 3 clk11 clk10 clk9 clk8
2?62 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks figure 2?42. global & regional clock c onnections from corn er clock pins & fast pll outputs note (1) note to figure 2?42 : (1) the corner fast plls can also be driv en through the global or regional clock networks. the global or regional clock in put can be driven by an output from another pll, a pin-driven dedicated global or regional clock, or through a clock control block, provided the clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. c0 c1 c2 c3 fast pll 7 rck0 rck2 rck1 rck3 gck0 gck2 gck9 gck11 gck1 gck3 gck8 gck10 rck4 rck6 rck5 rck7 rck17 rck16 rck18 rck19 rck21 rck23 rck20 rck22 c0 c1 c2 c3 fast pll 8 c0 c1 c2 c3 fast pll 10 c0 c1 c2 c3 fast pll 9 fpll 7clk fpll 8clk fpll 10cl k fpll 9cl k
altera corporation 2?63 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?43 shows the global and regional clocking from enhanced pll outputs and top and bottom clk pins. the connections to the global and regional clocks from the top clock pins and enhanced pll outputs is shown in table 2?11 . the connections to the cloc ks from the bottom clock pins is shown in table 2?12 .
2?64 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks figure 2?43. global & regional clock connections from top & bottom cl ock pins & enhanced pll outputs notes (1) , (2) , and (3) notes to figure 2?43 : (1) ep2s15 and ep2s30 devices only have two enhanced plls (5 and 6), but the connectivity from these two plls to the global and regional clock networks remains the same as shown. (2) if the design uses the feedback inpu t, you lose one (or two, if fbin is dif ferential) external clock output pin. (3) the enhanced plls can also be driven through the global or regional clock netowrks. the global or regional clock input can be driven by an output from another pll, a pi n-driven dedicated global or regional clock, or through a clock control block provided the clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. g15 g14 g13 g12 rclk31 rclk30 rclk29 rclk28 rclk27 rclk26 rclk25 rclk24 g7 g6 g5 g4 rclk15 rclk14 rclk13 rclk12 rclk11 rclk10 rclk9 rclk8 pll 6 clk7 clk6 clk5 clk4 pll 12 pll 5 c0 c1 c2 c3 c4 c5 c0 c1 c2 c3 c4 c5 c0 c1 c2 c3 c4 c5 c0 c1 c2 c3 c4 c5 clk14 clk15 clk13 clk12 pll 11 pll11_fb pll5_out[2..0]p pll5_out[2..0]n pll11_out[2..0]p pll11_out[2..0]n pll12_out[2..0]p pll12_out[2..0]n pll6_out[2..0]p pll6_out[2..0]n pll5_fb pll12_fb pll6_fb global clocks regional clocks regional clocks
altera corporation 2?65 may 2007 stratix ii device handbook, volume 1 stratix ii architecture table 2?11. global & regional clock connections from top clock pi ns & enhanced pll outputs (part 1 of 2) top side global & regional clock network connectivity dllclk clk12 clk13 clk14 clk15 rclk24 rclk25 rclk26 rclk27 rclk28 rclk29 rclk30 rclk31 clock pins clk12p vvv v v clk13p vvv v v clk14p vvvv v clk15p vvv vv clk12n vvv clk13n vv v clk14n vvv clk15n vvv drivers from internal logic gclkdrv0 v gclkdrv1 v gclkdrv2 v gclkdrv3 v rclkdrv0 vv rclkdrv1 vv rclkdrv2 vv rclkdrv3 vv rclkdrv4 vv rclkdrv5 vv rclkdrv6 vv rclkdrv7 vv enhanced pll 5 outputs c0 vvv v v c1 vvv v v c2 vvvv v c3 vvvvv
2?66 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks c4 vvvvv c5 v vvvv enhanced pll 11 outputs c0 vv v v c1 vv v v c2 vv v v c3 vvvv c4 vvvv c5 vvvv table 2?11. global & regional clock connections from top clock pi ns & enhanced pll outputs (part 2 of 2) top side global & regional clock network connectivity dllclk clk12 clk13 clk14 clk15 rclk24 rclk25 rclk26 rclk27 rclk28 rclk29 rclk30 rclk31 table 2?12. global & regional clock connections from bott om clock pins & enhanced pll outputs (part 1 of 2) bottom side global & regional clock network connectivity dllclk clk4 clk5 clk6 clk7 rclk8 rclk9 rclk10 rclk11 rclk12 rclk13 rclk14 rclk15 clock pins clk4p vvv v v clk5p vvv v v clk6p vvvv v clk7p vvvvv clk4n vvv clk5n vvv clk6n vvv clk7n vvv drivers from internal logic gclkdrv0 v gclkdrv1 v gclkdrv2 v
altera corporation 2?67 may 2007 stratix ii device handbook, volume 1 stratix ii architecture gclkdrv3 v rclkdrv0 vv rclkdrv1 vv rclkdrv2 vv rclkdrv3 vv rclkdrv4 vv rclkdrv5 vv rclkdrv6 vv rclkdrv7 vv enhanced pll 6 outputs c0 vvv v v c1 vvv v v c2 vvvv v c3 vvvvv c4 vvvvv c5 v vvvv enhanced pll 12 outputs c0 vv v v c1 vv v v c2 vv v v c3 vvvv c4 vvvv c5 vvvv table 2?12. global & regional clock connections from bott om clock pins & enhanced pll outputs (part 2 of 2) bottom side global & regional clock network connectivity dllclk clk4 clk5 clk6 clk7 rclk8 rclk9 rclk10 rclk11 rclk12 rclk13 rclk14 rclk15
2?68 altera corporation stratix ii device handbook, volume 1 may 2007 plls & clock networks enhanced plls stratix ii devices contain up to four enhanced plls with advanced clock management features. figure 2?44 shows a diagram of the enhanced pll. figure 2?44. stratix ii enhanced pll note (1) notes to figure 2?44 : (1) each clock source can come from any of the four clock pins that are physically located on the same side of the device as the pll. (2) if the feedback input is used, you lose one (or two, if fbin is differential) external clock output pin. (3) each enhanced pll has three differential external cloc k outputs or six single-ended external clock outputs. (4) the global or regional clock input can be driven by an output from another pll, a pi n-driven dedicated global or regional clock, or through a clock control block, provided the clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. /n charge pump vco /c2 /c3 /c4 /c0 8 4 6 4 global clocks /c1 lock detect to i/o or general routing inclk[3..0] fbin global or regional clock pfd /c5 from adjacent pll /m spread spectrum i/o buffers (3) (2) loop filter & filter post-scale counters clock switchover circuitry phase frequency detector vco phase selection selectable at each pll output port vco phase selection affecting all outputs shaded portions of the pll are reconfigurable regional clocks 8 6 (4)
altera corporation 2?69 may 2007 stratix ii device handbook, volume 1 stratix ii architecture fast plls stratix ii devices contain up to eight fast plls with high-speed serial interfacing ability. figure 2?45 shows a diagram of the fast pll. figure 2?45. stratix ii device fast pll notes (1) , (2) , (3) notes to figure 2?45 : (1) the global or regional clock input can be driven by an output from another pll, a pi n-driven dedicated global or regional clock, or through a clock control block, provided the clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. (2) in high-speed differential i/o support mode, this high-s peed pll clock feeds the serdes circuitry. stratix ii devices only support one rate of da ta transfer per fast pll in high-s peed differential i/o support mode. (3) this signal is a differential i/o serdes control signal. (4) stratix ii fast plls only support manual clock switchover. (5) if the design enables this 2 counter, then the device can use a vco frequency range of 150 to 520 mhz. f see the plls in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook for more information on enha nced and fast plls. see ?high-speed differential i/o with dpa support? on page 2?96 for more information on high-speed differential i/o support. i/o structure the stratix ii ioes provide many features, including: dedicated differential and single-ended i/o buffers 3.3-v, 64-bit, 66-mhz pci compliance 3.3-v, 64-bit, 133-mhz pci-x 1.0 compliance joint test action group (jtag) boundary-scan test (bst) support on-chip driver series termination on-chip parallel termination on-chip termination for differential standards programmable pull-up during configuration charge pump vco c1 8 8 4 4 8 clock input pfd c0 m loop filter phase frequency detector vco phase selection selectable at each pll output port post-scale counters global clocks diffioclk1 load_en1 load_en0 diffioclk0 regional clocks to dpa block global or regional clock (1) global or regional clock (1) c2 k c3 n 4 clock switchover circuitry (4) shaded portions of the pll are reconfigurable (2) (2) (3) (3) (5)
2?70 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure output drive strength control tri-state buffers bus-hold circuitry programmable pull-up resistors programmable input and output delays open-drain outputs dq and dqs i/o pins double data rate (ddr) registers the ioe in stratix ii devices contains a bidirectional i/o buffer, six registers, and a latch for a complete embedded bidirectional single data rate or ddr transfer. figure 2?46 shows the stratix ii ioe structure. the ioe contains two input registers (plus a latch), two output registers, and two output enable registers. the desi gn can use both input registers and the latch to capture ddr input and both output registers to drive ddr outputs. additionally, the design can use the output enable (oe) register for fast clock-to-output enable timi ng. the negative edge-clocked oe register is used for ddr sdram interfacing. the quartus ii software automatically duplicates a single oe register that controls multiple output or bidirectional pins.
altera corporation 2?71 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?46. stratix ii ioe structure the ioes are located in i/o blocks around the periphery of the stratix ii device. there are up to four ioes per row i/o block and four ioes per column i/o block. the row i/o blocks drive row, column, or direct link interconnects. the column i/o blocks drive column interconnects. figure 2?47 shows how a row i/o block connects to the logic array. figure 2?48 shows how a column i/o bloc k connects to th e logic array. dq output register output a dq output register output b input a input b dq oe register oe dq oe register dq input register dq input register dq input latch logic array clk ena
2?72 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure figure 2?47. row i/o block c onnection to the interconnect note (1) note to figure 2?47 : (1) the 32 data and control signals consist of eight data out lines: four lines each for ddr applications io_dataouta[3..0] and io_dataoutb[3..0] , four output enables io_oe[3..0] , four input clock enables io_ce_in[3..0] , four output clock enables io_ce_out[3..0] , four clocks io_clk[3..0] , four asynchronous clear and preset signals io_aclr/apreset[3..0] , and four synchronous clear and preset signals io_sclr/spreset[3..0] . 32 r4 & r24 interconnects c4 interconnect i/o block local interconnect 32 data & control signals from logic array (1) io_dataina[3..0] io_datainb[3..0] io_clk[7:0] horizontal i/o block contains up to four ioes direct link interconnect to adjacent lab direct link interconnect to adjacent lab lab local interconnect lab horizontal i/o block
altera corporation 2?73 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?48. column i/o block connection to the interconnect note (1) note to figure 2?48 : (1) the 32 data and control signals consist of eight data out lines: four lines each for ddr applications io_dataouta[3..0] and io_dataoutb[3..0] , four output enables io_oe[3..0] , four input clock enables io_ce_in[3..0] , four output clock enables io_ce_out[3..0] , four clocks io_clk[3..0] , four asynchronous clear and preset signals io_aclr/apreset[3..0] , and four synchronous clear and preset signals io_sclr/spreset[3..0] . 32 data & control signals from logic array (1) vertical i/o block contains up to four ioes i/o block local interconnect io_dataina[3:0] io_datainb[3:0] r4 & r24 interconnects lab local interconnect c4 & c16 interconnects 32 lab lab lab io_clk[7..0] vertical i/o block
2?74 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure there are 32 control and data signals that feed each row or column i/o block. these control and data signals are driven from the logic array. the row or column ioe clocks, io_clk[7..0] , provide a dedicated routing resource for low-skew, high-speed cl ocks. i/o clocks are generated from global or regional clocks (see the ?plls & cloc k networks? section). figure 2?49 illustrates the signal pa ths through the i/o block. figure 2?49. signal path through the i/o block each ioe contains its own control signal selection for the following control signals: oe , ce_in , ce_out , aclr/apreset , sclr/spreset , clk_in , and clk_out . figure 2?50 illustrates the control signal selection. row or column io_clk[7..0] io_dataina io_datainb io_dataouta io_dataoutb io_oe oe ce_in ce_out io_ce_in aclr/apreset io_ce_out sclr/spreset io_sclr io_aclr clk_in io_clk clk_out control signal selection ioe to logic array from logic array to other ioes
altera corporation 2?75 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?50. control signal selection per ioe notes to figure 2?50 : (1) control signals ce_in , ce_out , aclr/apreset , sclr/spreset , and oe can be global signals even though their control selection multiplexers are not directly fed by the ioe_clk[7..0] signals. the ioe_clk signals can drive the i/o local interconnect, which then dri ves the control selection multiplexers. in normal bidirectional operation, the input register can be used for input data requiring fast setup times. the input register can have its own clock input and clock enable separate from the oe and output registers. the output register can be used for da ta requiring fast clock-to-output performance. the oe register can be used for fast clock-to-output enable timing. the oe and output register share the same clock source and the same clock enable source from local interconnect in the associated lab, dedicated i/o clocks, and the column and row interconnects. clk_out ce_in clk_in ce_out aclr/apreset sclr/spreset dedicated i/o clock [7..0] local interconnect local interconnect local interconnect local interconnect local interconnect oe io_oe io_aclr local interconnect io_sclr io_ce_out io_ce_in io_clk
2?76 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure figure 2?51 shows the ioe in bidirectional configuration. figure 2?51. stratix ii io e in bidirectional i/o configuration note (1) notes to figure 2?51 : (1) all input signals to the io e can be inverted at the ioe. (2) the optional pci clamp is only available on column i/o pins. clrn/prn dq ena chip-wide reset oe register clrn/prn dq ena output register v ccio v ccio pci clamp (2) programmable pull-up resistor column, row, or local interconnect ioe_clk[7..0] bus-hold circuit oe register t co delay clrn/prn dq ena input register input pin to input register delay input pin to logic array delay drive strength control open-drain output on-chip termination sclr/spreset oe clkout ce_out aclr/apreset clkin ce_in output pin delay
altera corporation 2?77 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the stratix ii device ioe includes programmable delays that can be activated to ensure input ioe register -to-logic array register transfers, input pin-to-logic array register transf ers, or output ioe register-to-pin transfers. a path in which a pin directly drives a register may require the delay to ensure zero hold time, whereas a path in which a pin drives a register through combinational logic may n ot require the delay. programmable delays exist for decreasing input-pin-to-logic-array and ioe input register delays. the quartus ii comp iler can program these delays to automatically mini mize setup time while prov iding a zero hold time. programmable delays can increase the register-to-pin delays for output and/or output enable registers. programmable delays are no longer required to ensure zero hold times fo r logic array register-to-ioe register transfers. the quartus ii compiler can create the zero hold time for these transfers. table 2?13 shows the programmable delays for stratix ii devices. the ioe registers in stratix ii devices share the same source for clear or preset. you can program preset or clea r for each individual ioe. you can also program the registers to power up high or low after configuration is complete. if programmed to power up low, an asynchronous clear can control the registers. if programmed to power up high, an asynchronous preset can control the registers. this feature prevents the inadvertent activation of another device's acti ve-low input upon power-up. if one register in an ioe uses a preset or clear signal then all registers in the ioe must use that same signal if they require preset or clear. additionally, a synchronous reset signal is available for the ioe registers. double data rate i/o pins stratix ii devices have six registers in the ioe, which support ddr interfacing by clocking data on both positive and negative clock edges. the ioes in stratix ii devices support ddr inputs, ddr outputs, and bidirectional ddr modes. table 2?13. stratix ii progr ammable delay chain programmable delays quartus ii logic option input pin to logic array delay input delay from pin to internal cells input pin to input register delay inpu t delay from pin to input register output pin delay delay from output register to output pin output enable register t co delay delay to output enable pin
2?78 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure when using the ioe for ddr inputs, th e two input registers clock double rate input data on alternating edges. an input latch is also used in the ioe for ddr input acquisition. the latch ho lds the data that is present during the clock high times. this allows both bits of data to be synchronous with the same clock edge (eit her rising or falling). figure 2?52 shows an ioe configured for ddr input. figure 2?53 shows the ddr input timing diagram. figure 2?52. stratix ii ioe in ddr input i/o configuration notes (1) , (2) , (3) notes to figure 2?52 : (1) all input signals to the io e can be inverted at the ioe. (2) this signal connection is only al lowed on dedicated dq function pins. (3) this signal is for dedicated dqs function pins only. (4) the optional pci clamp is only available on column i/o pins. clrn/prn dq ena chip-wide reset input register clrn/prn dq ena input register vccio vccio pci clamp (4) programmable pull-up resistor column, row, or local interconnect dqs local bus (2) to dqs logic block (3) ioe_clk[7..0] bus-hold circuit clrn/prn dq ena latch i nput pin to input registerdelay sclr/spreset clkin aclr/apreset on-chip termination ce_in
altera corporation 2?79 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?53. input timing diagram in ddr mode when using the ioe for ddr output s, the two output registers are configured to clock two data paths from alms on risi ng clock edges. these output registers are multiplexed by the clock to drive the output pin at a 2 rate. one output register clocks the first bi t out on the clock high time, while the other output regist er clocks the second bit out on the clock low time. figure 2?54 shows the ioe configured for ddr output. figure 2?55 shows the ddr output timing diagram. data at input pin clk a0 b0 b1 a1 a1 b2 a2 a3 a2 a3 b1 a0 b0 b2 b3 b3 b4 input to logic array
2?80 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure figure 2?54. stratix ii ioe in ddr output i/o configuration notes (1) , (2) notes to figure 2?54 : (1) all input signals to the io e can be inverted at the ioe. (2) the tri-state buffer is active low. the ddio megafuncti on represents the tri-state buffer as active-high with an inverter at the oe register data port. similarly, the aclr and apreset signals are also active-high at the input ports of the ddio megafunction. (3) the optional pci clamp is only available on column i/o pins. clrn/prn dq ena chip-wide reset oe register clrn/prn dq ena oe register clrn/prn dq ena output register v ccio v ccio pci clamp (3) programmable pull-up resistor column, row, or local interconnect ioe_clk[7..0] bus-hold circuit oe register t co delay clrn/prn dq ena output register drive strength control open-drain output used for ddr, ddr2 sdram sclr/spreset aclr/apreset clkout output pin delay on-chip termination oe ce_out clk
altera corporation 2?81 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?55. output timing diagram in ddr mode the stratix ii ioe operates in bidire ctional ddr mode by combining the ddr input and ddr output configur ations. the negative-edge-clocked oe register holds the oe si gnal inactive until the fa lling edge of the clock. this is done to meet ddr sdram timing requirements. external ram interfacing in addition to the si x i/o registers in each ioe, stratix ii devices also have dedicated phase-shift circuitry for interfacing with external memory interfaces. stratix ii devices support ddr and ddr2 sdram, qdr ii sram, rldram ii, and sdr sdram memory interfaces. in every stratix ii device, the i/o banks at the top (banks 3 and 4) and bottom (banks 7 and 8) of the device support dq and dqs signals with dq bus modes of 4, 8/9, 16/18, or 32/36. table 2?14 shows the number of dq and dqs buses that are supported per device. from internal registers ddr output clk b1 a1 b2 a2 b3 a3 b4 a4 a2 a1 a3 a4 b1 b2 b3 b4 table 2?14. dqs & dq bus mode support (part 1 of 2) note (1) device package number of 4 groups number of 8/9 groups number of 16/18 groups number of 32/36 groups ep2s15 484-pin fineline bga 8 4 0 0 672-pin fineline bga 18 8 4 0 ep2s30 484-pin fineline bga 8 4 0 0 672-pin fineline bga 18 8 4 0 ep2s60 484-pin fineline bga 8 4 0 0 672-pin fineline bga 18 8 4 0 1,020-pin fineline bga 36 18 8 4
2?82 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure a compensated delay element on ea ch dqs pin automatically aligns input dqs synchronization signals with the data window of their corresponding dq data signals. the dqs signals drive a local dqs bus in the top and bottom i/o banks. this dq s bus is an additional resource to the i/o clocks and is used to cloc k dq input registers with the dqs signal. the stratix ii device has two phase-shif ting reference circuits, one on the top and one on the bottom of the device. the circuit on the top controls the compensated delay elements for a ll dqs pins on the top. the circuit on the bottom controls the compensated delay elements for all dqs pins on the bottom. each phase-shifting reference circuit is driven by a system reference clock, which must have the same frequenc y as the dqs signal. clock pins clk[15..12]p feed the phase circuitry on the top of the device and clock pins clk[7..4]p feed the phase circuitr y on the bottom of the device. in addition, pll clock outputs can also feed the phase-shifting reference circuits. figure 2?56 illustrates the phase-shift reference circuit control of each dqs delay shift on the top of the devi ce. this same circuit is duplicated on the bottom of the device. ep2s90 484-pin hybrid fineline bga 8 4 0 0 780-pin fineline bga 18 8 4 0 1,020-pin fineline bga 36 18 8 4 1,508-pin fineline bga 36 18 8 4 ep2s130 780-pin fineline bga 18 8 4 0 1,020-pin fineline bga 36 18 8 4 1,508-pin fineline bga 36 18 8 4 ep2s180 1,020-pin fineline bga 36 18 8 4 1,508-pin fineline bga 36 18 8 4 notes to table 2?14 : (1) check the pin table for each dq s/dq group in the different modes. table 2?14. dqs & dq bus mode support (part 2 of 2) note (1) device package number of 4 groups number of 8/9 groups number of 16/18 groups number of 32/36 groups
altera corporation 2?83 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?56. dqs phase- shift circuitry notes (1) , (2) , (3) , (4) notes to figure 2?56 : (1) there are up to 18 pairs of dqs and dqsn pins available on the top or the bottom of the stratix ii device. there are up to 10 pairs on the right side and 8 pairs on the left side of the dqs phase-shift circuitry. (2) the t module represents the dqs logic block. (3) clock pins clk[15..12]p feed the phase-shift circuitry on the top of the device and clock pins clk[7..4]p feed the phase circuitry on the bottom of the device. you can also use a pll clock output as a reference clock to the phase- shift circuitry. (4) you can only use pll 5 to feed the dqs phase-shift circ uitry on the top of the device and pll 6 to feed the dqs phase-shift circuitry on the bottom of the device. these dedicated circuits combined with enhanced pll clocking and phase-shift ability provide a complete hardware solution for interfacing to high-speed memory. f for more information on external memory interfaces, refer to the external memory inte rfaces in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook . programmable drive strength the output buffer for each stratix i i device i/o pin has a programmable drive strength control for certain i/o standards. the lvttl, lvcmos, sstl, and hstl standards have several levels of drive strength that the user can control. the default setting us ed in the quartus ii software is the maximum current strength setting that is used to achieve maximum i/o performance. for all i/o standards, the minimum setting is the lowest drive strength that guarantees the i oh /i ol of the standard. using minimum settings provides signal sl ew rate control to reduce system noise and signal overshoot. dqs pin dqsn pin dqsn pin dqs pin dqs pin dqsn pin dqs pin dqsn pin from pll 5 (3) clk[15..12]p (2) to ioe to ioe to ioe to ioe to ioe to ioe to ioe t t t t t t t to ioe dqs phase-shift circuitry t dqs logic blocks
2?84 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure table 2?15 shows the possible settings fo r the i/o standards with drive strength control. open-drain output stratix ii devices provide an optional open-drain (equivalent to an open- collector) output for each i/o pin. this open-drain output enables the device to provide system-level control signals (e.g., interrupt and write- enable signals) that can be asse rted by any of several devices. bus hold each stratix ii device i/o pin provides an optional bus-hold feature. the bus-hold circuitry can weakly hold the signal on an i/o pin at its last-driven state. since the bus-hold feature holds the last-driven state of the pin until the next input signal is present, you do not need an external pull-up or pull-down resistor to hold a signal level when the bus is tri-stated. table 2?15. programmable drive strength note (1) i/o standard i oh / i ol current strength setting (ma) for column i/o pins i oh / i ol current strength setting (ma) for row i/o pins 3.3-v lvttl 24, 20, 16, 12, 8, 4 12, 8, 4 3.3-v lvcmos 24, 20, 16, 12, 8, 4 8, 4 2.5-v lvttl/lvcmos 16, 12, 8, 4 12, 8, 4 1.8-v lvttl/lvcmos 12, 10, 8, 6, 4, 2 8, 6, 4, 2 1.5-v lvcmos 8, 6, 4, 2 4, 2 sstl-2 class i 12, 8 12, 8 sstl-2 class ii 24, 20, 16 16 sstl-18 class i 12, 10, 8, 6, 4 10, 8, 6, 4 sstl-18 class ii 20, 18, 16, 8 - hstl-18 class i 12, 10, 8, 6, 4 12, 10, 8, 6, 4 hstl-18 class ii 20, 18, 16 - hstl-15 class i 12, 10, 8, 6, 4 8, 6, 4 hstl-15 class ii 20, 18, 16 - note to table 2?15 : (1) the quartus ii software default current setting is the maximum setting for each i/o standard.
altera corporation 2?85 may 2007 stratix ii device handbook, volume 1 stratix ii architecture the bus-hold circuitry also pulls undriven pins away from the input threshold voltage where noise can cause unintended high-frequency switching. you can select this featur e individually for each i/o pin. the bus-hold output drives no higher than v ccio to prevent overdriving signals. if the bus-hold feature is enabled, the programmable pull-up option cannot be used. disable the bu s-hold feature when the i/o pin has been configured for differential signals. the bus-hold circuitry uses a resistor with a nominal resistance (r bh ) of approximately 7 k to weakly pull the signal level to the last-driven state. see the dc & switching characteristics chapter in the stratix ii device handbook, volume 1 , for the specific sustaining current driven through this resistor and overdrive current used to identify the next-driven input level. this information is provided for each v ccio voltage level. the bus-hold circuitry is active only after configuration. when going into user mode, the bus-hold circuit captures the value on the pin present at the end of configuration. programmable pull-up resistor each stratix ii device i/o pin pr ovides an optional programmable pull-up resistor during user mode. if you enable this feature for an i/o pin, the pull-up resistor (typically 25 k ) weakly holds the output to the v ccio level of the output pin?s bank. programmable pull-up resistors are only supported on user i/o pins, and are not supported on dedicated co nfiguration pins, jtag pins or dedicated clock pins. advanced i/o standard support stratix ii device ioes support the following i/o standards: 3.3-v lvttl/lvcmos 2.5-v lvttl/lvcmos 1.8-v lvttl/lvcmos 1.5-v lvcmos 3.3-v pci 3.3-v pci-x mode 1 lvds lvpecl (on input and output clocks only) hypertransport technology differential 1.5-v hstl class i and ii differential 1.8-v hstl class i and ii differential sstl-18 class i and ii differential sstl-2 class i and ii
2?86 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure 1.5-v hstl class i and ii 1.8-v hstl class i and ii 1.2-v hstl sstl-2 class i and ii sstl-18 class i and ii table 2?16 describes the i/o standards supported by stratix ii devices. table 2?16. stratix ii supported i/o standards (part 1 of 2) i/o standard type input reference voltage (v ref ) (v) output supply voltage (v ccio ) (v) board termination voltage (v tt ) (v) lvttl single-ended - 3.3 - lvcmos single-ended - 3.3 - 2.5 v single-ended - 2.5 - 1.8 v single-ended - 1.8 - 1.5-v lvcmos single-ended - 1.5 - 3.3-v pci single-ended - 3.3 - 3.3-v pci-x mode 1 single-ended - 3.3 - lvds differential - 2.5 (3) - lvpecl (1) differential - 3.3 - hypertransport technology differential - 2.5 - differential 1.5-v hstl class i and ii (2) differential 0.75 1.5 0.75 differential 1.8-v hstl class i and ii (2) differential 0.90 1.8 0.90 differential sstl-18 class i and ii (2) differential 0.90 1.8 0.90 differential sstl-2 class i and ii (2) differential 1.25 2.5 1.25 1.2-v hstl (4) voltage-referenced 0.6 1.2 0.6 1.5-v hstl class i and ii voltage-referenced 0.75 1.5 0.75 1.8-v hstl class i and ii voltage-referenced 0.9 1.8 0.9 sstl-18 class i and ii voltage-referenced 0.90 1.8 0.90
altera corporation 2?87 may 2007 stratix ii device handbook, volume 1 stratix ii architecture f for more information on i/o stan dards supported by stratix ii i/o banks, refer to the selectable i/o standards in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook . stratix ii devices contain eight i/o ba nks and four enhanced pll external clock output banks, as shown in figure 2?57 . the four i/o banks on the right and left of the device contai n circuitry to su pport high-speed differential i/o for lvds and hypert ransport inputs an d outputs. these banks support all stratix ii i/o standards except pci or pci-x i/o pins, and sstl-18 class ii and hstl outputs. the top and bottom i/o banks support all single-ended i/o standards. additionally, enhanced pll external clock output banks allow clock output capabilities such as differential support for sstl and hstl. sstl-2 class i and ii voltage-referenced 1.25 2.5 1.25 notes to table 2?16 : (1) this i/o standard is only available on input and output column clock pins. (2) this i/o standard is only available on input clock pins and dqs pins in i/o banks 3, 4, 7, and 8, and output clock pins in i/o banks 9,10, 11, and 12. (3) v ccio is 3.3 v when using this i/o standard in input and ou tput column clock pins (in i/o banks 9, 10, 11, and 12). the clock input pins supporting lvds on banks 3, 4, 7, and 8 use v ccint for lvds input operations and have no dependency on the v ccio level of the bank. (4) 1.2-v hstl is only support ed in i/o banks 4,7, and 8. table 2?16. stratix ii supported i/o standards (part 2 of 2) i/o standard type input reference voltage (v ref ) (v) output supply voltage (v ccio ) (v) board termination voltage (v tt ) (v)
2?88 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure figure 2?57. strati x ii i/o banks notes (1) , (2) , (3) , (4) notes to figure 2?57 : (1) figure 2?57 is a top view of the silicon die that corresponds to a reverse view for flip-chip packages. it is a graphical representation only. (2) depending on the size of the device, differen t device members have different numbers of v ref groups. refer to the pin list and the quartus ii so ftware for exact locations. (3) banks 9 through 12 are enhanced pll external cloc k output banks. these pll banks utilize the adjacent v ref group when voltage-referenced standards ar e implemented. for example, if an sstl input is implemented in pll bank 10, the voltage level at vrefb7 is the reference voltage level for the sstl input. (4) horizontal i/o banks feature serdes and dpa circuitr y for high speed differenti al i/o standards. see the high speed differential i/o interfaces in stratix ii & stratix ii gx devices chapter of the stratix ii device handbook, volume 2 or the stratix ii gx device handbook, volume 2 for more information on differential i/o standards. bank 3 bank 4 bank 11 bank 9 pll11 pll5 pll7 pll1 pll2 pll4 pll3 pll10 i/o banks 7, 8, 10 & 12 support all single-ended i/o standards and differential i/o standards except for hypertransport technology for both input and output operations. i/o banks 3, 4, 9 & 11 support all single-ended i/o standards and differential i/o standards except for hypertransport technology for both input and output operations. vref0b3 vref1b3 vref2b3 vref3b3 vref4b3 vref0b4 vref1b4 vref2b4 vref3b4 vref4b4 bank 8 bank 7 bank 12 bank 10 pll12 pll6 pll8 pll9 vref4b8 vref3b8 vref2b8 vref1b8 vref0b8 vref4b7 vref3b7 vref2b7 vref1b7 vref0b7 vref3b 2 vref2b2 vref1b2 vref0b2 bank 2 vref3b1 vref2b1 vref1b1 vref0b1 bank 1 vref1b 5 vref2b5 vref3b5 vref4b5 bank 5 vref1b6 vref2b6 vref3b6 vref4b6 bank 6 vref4b2 vref0b5 vref4b1 vref0b6 dqs4t dqs3t dqs2t dqs1t dqs0t dqs4b dqs3b dqs2b dqs1b dqs0b dqs8b dqs7b dqs6b dqs5b dqs8t dqs7t dqs6t dqs5t this i/o bank supports lvds and lvpecl standards for input clock operations. differential hstl and differential sstl standards are supported for both input and output operations. this i/o bank supports lvds and lvpecl standards for input clock operations. differential hstl and differential sstl standards are supported for both input and output operations. this i/o bank supports lvds and lvpecl standards for input clock operations. differential hstl and differential sstl standards are supported for both input and output operations. this i/o bank supports lvds and lvpecl standards for input clock operations. differential hstl and differential sstl standards are supported for both input and output operations. i/o banks 1, 2, 5 & 6 support lvttl, lvcmos, 2.5-v, 1.8-v, 1.5-v, sstl-2, sstl-18 class i, hstl-18 class i, hstl-15 class i, lvds, and hypertransport standards for input and output operations. hstl-18 class ii, hstl-15-class ii, sstl-18 class ii standards are only supported for input operations.
altera corporation 2?89 may 2007 stratix ii device handbook, volume 1 stratix ii architecture each i/o bank has its own vccio pins. a single de vice can support 1.5-, 1.8-, 2.5-, and 3.3-v interfaces ; each bank can support a different v ccio level independently. each bank also has dedicated vref pins to support the voltage-referenced stan dards (such as sstl-2). the pll banks utilize the adjacent vref group when voltage-referenced standards are implemented. for example, if an sstl input is implemented in pll bank 10, the voltage level at vrefb7 is the reference voltage level for the sstl input. i/o pins that reside in pll banks 9 through 12 are powered by the vcc_pll < 5, 6, 11, or 12 > _out pins, respectively. the ep2s60f484, ep2s60f780, ep2s90h484, ep2s90f780, and ep2s130f780 devices do not support plls 11 and 12. therefore, any i/o pins that reside in bank 11 are powered by the vccio3 pin, and any i/o pins that reside in bank 12 are powered by the vccio8 pin. each i/o bank can support multiple standards with the same v ccio for input and output pins. each bank can support one v ref voltage level. for example, when v ccio is 3.3 v, a bank can support lvttl, lvcmos, and 3.3-v pci for inputs and outputs. on-chip termination stratix ii devices provide differential (for the lvds or hypertransport technology i/o standard), series, and parallel on-chip termination to reduce reflections and maintain signal integrity. on-chip termination simplifies board design by minimizing the number of external termination resistors required. termination can be placed inside the package, eliminating small stubs that can still lead to reflections. stratix ii devices provide four types of termination: differential termination (r d ) series termination (r s ) without calibration series termination (r s ) with calibration parallel termination (r t ) with calibration
2?90 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure table 2?17 shows the stratix ii on-chip te rmination support per i/o bank. table 2?17. on-chip termination support by i/o banks (part 1 of 2) on-chip termination support i /o standard support top & bottom banks left & right banks series termination without calibration 3.3-v lvttl vv 3.3-v lvcmos vv 2.5-v lvttl vv 2.5-v lvcmos vv 1.8-v lvttl vv 1.8-v lvcmos vv 1.5-v lvttl vv 1.5-v lvcmos vv sstl-2 class i and ii vv sstl-18 class i v v sstl-18 class ii v 1.8-v hstl class i vv 1.8-v hstl class ii v 1.5-v hstl class i vv 1.2-v hstl v
altera corporation 2?91 may 2007 stratix ii device handbook, volume 1 stratix ii architecture series termination with calibration 3.3-v lvttl v 3.3-v lvcmos v 2.5-v lvttl v 2.5-v lvcmos v 1.8-v lvttl v 1.8-v lvcmos v 1.5-v lvttl v 1.5-v lvcmos v sstl-2 class i and ii v sstl-18 class i and ii v 1.8-v hstl class i v 1.8-v hstl class ii v 1.5-v hstl class i v 1.2-v hstl v parallel termination with calibration sstl-2 class i and ii v sstl-18 class i and ii v 1.8-v hstl class i v 1.8-v hstl class ii v 1.5-v hstl class i and ii v 1.2-v hstl v differential termination (1) lv d s v hypertransport technology v note to table 2?17 : (1) clock pins clk1 , clk3 , clk9 , clk11 , and pins fpll[7..10]clk do not support differential on-chip termination. clock pins clk0 , clk2 , clk8 , and clk10 do support differential on-chi p termination. clock pins in the top and bottom banks ( clk[4..7, 12..15] ) do not support differential on-chip termination. table 2?17. on-chip termination support by i/o banks (part 2 of 2) on-chip termination support i /o standard support top & bottom banks left & right banks
2?92 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure differential on-chip termination stratix ii devices support internal differential termination with a nominal resistance value of 100 for lvds or hypertransport technology input receiver buffers. lvpecl input signals (supported on clock pins only) require an external termination resis tor. differential on-chip termination is supported across the full range of su pported differential data rates as shown in the dc & switching characteristics chapter in volume 1 of the stratix ii device handbook . f for more information on differential on-chip termination, refer to the high-speed differential i/ o interfaces with dpa in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook . f for more information on tolerance specifications for differential on-chip termination, refer to the dc & switching characteristics chapter in volume 1 of the stratix ii device handbook. on-chip series termination without calibration stratix ii devices support driver impedance matching to provide the i/o driver with controlled output im pedance that closely matches the impedance of the transmission line . as a result, reflections can be significantly reduced. stratix ii devices support on-chip series termination for single-ended i/o standards with typical r s values of 25 and 50 . once matching impedance is selected, current drive strength is no longer selectable. table 2?17 shows the list of output standards that support on-chip series term ination without calibration. on-chip series termination with calibration stratix ii devices support on-chip series termination with calibration in column i/o pins in top and bottom bank s. there is one calibration circuit for the top i/o banks and one circui t for the bottom i/o banks. each on-chip series termination calibr ation circuit compares the total impedance of each i/o buffer to the external 25- or 50- resistors connected to the rup and rdn pins, and dynamically enables or disables the transistors until they match. cali bration occurs at the end of device configuration. once the calibration ci rcuit finds the correct impedance, it powers down and stops changing th e characteristics of the drivers. f for more information on series on-chip termination supported by stratix ii devices, refer to the selectable i/o standards in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook .
altera corporation 2?93 may 2007 stratix ii device handbook, volume 1 stratix ii architecture f for more information on tolerance sp ecifications for on-chip termination with calibration, refer to the dc & switching characteristics chapter in volume 1 of the stratix ii device handbook . on-chip parallel termination with calibration stratix ii devices support on-chip para llel termination with calibration for column i/o pins only. there is one calibration circuit for the top i/o banks and one circuit for the bottom i/o banks. each on-chip parallel termination calibration circuit compar es the total impedance of each i/o buffer to the external 50- resistors connected to the rup and rdn pins and dynamically enables or disables the transistors until they match. calibration occurs at the end of device configuration. once the calibration circuit finds the correct impedance, it powers down and stops changing the characteristic s of the drivers. 1 on-chip parallel termination with calibration is only supported for input pins. f for more information on on-chip te rmination supported by stratix ii devices, refer to the selectable i/o standards in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook . f for more information on tolerance sp ecifications for on-chip termination with calibration, refer to the dc & switching characteristics chapter in volume 1 of the stratix ii device handbook. multivolt i/o interface the stratix ii architecture supports the multivolt i/o interface feature that allows stratix ii devices in all packages to interface with systems of different supply voltages. the stratix ii vccint pins must always be co nnected to a 1.2-v power supply. with a 1.2-v v ccint level, input pins are 1.5-, 1.8-, 2.5-, and 3.3-v tolerant. the vccio pins can be connected to either a 1.5-, 1.8-, 2.5-, or 3.3-v power supply, depending on th e output requirements. the output levels are compatible with systems of the same voltage as the power supply (for example, when vccio pins are connected to a 1.5-v power supply, the output levels are co mpatible with 1.5-v systems). the stratix ii vccpd power pins must be co nnected to a 3.3-v power supply. these power pins are used to supply the pre-driver power to the output buffers, which increases the performance of the output pins. the vccpd pins also power configuration input pins and jtag input pins.
2?94 altera corporation stratix ii device handbook, volume 1 may 2007 i/o structure table 2?18 summarizes stratix ii multivolt i/o support. the tdo and nceo pins are powered by v ccio of the bank that they reside in. tdo is in i/o bank 4 and nceo is in i/o bank 7. ideally, the v cc supplies for the i/o buffers of any two connected pins are at the same voltage level. this may not always be possible depending on the v ccio level of tdo and nceo pins on master devices and the configuration voltage level chosen by vccsel on slave devices. master and slave devices can be in any position in the chain. master indicates that it is driving out tdo or nceo to a slave device. for multi-device passive configuration schemes, the nceo pin of the master device drives the nce pin of the slave device. the vccsel pin on the slave device selects which input buffer is used for nce . when vccsel is logic high, it selects the 1. 8-v/1.5-v buffer powered by v ccio . when vccsel is logic low it selects the 3.3-v/2.5-v input buffer powered by v ccpd . the ideal case is to have the v ccio of the nceo bank in a master device match the vccsel settings for the nce input buffer of the slave device it is connected to, but that may not be possible depending on the application. table 2?19 contains board design recommendations to ensure that nceo can successfully drive nce for all power supply combinations. table 2?18. stratix ii mu ltivolt i/o support note (1) v ccio (v) input signal (v) output signal (v) 1.2 1.5 1.8 2.5 3.3 1.2 1.5 1.8 2.5 3.3 5.0 1.2 (4) v (2) v (2) v (2) v (2) v (4) 1.5 (4) vvv (2) v (2) v (3) v 1.8 (4) v vv (2) v (2) v (3) v (3) v 2.5 (4) vvv (3) v (3) v (3) v 3.3 (4) v vv (3) v (3) v (3) v (3) vv notes to table 2?18 : (1) to drive inputs higher than v ccio but less than 4.0 v, disable the pci clamping diode and select the allow lvttl and lvcmos input levels to overdrive input buffer option in the quartus ii software. (2) the pin current may be slightly higher than the de fault value. you must verify that the driving device?s v ol maximum and v oh minimum voltages do not viol ate the applicable stratix ii v il maximum and v ih minimum voltage specifications. (3) although v ccio specifies the voltage necessary for the stratix ii de vice to drive out, a receiving device powered at a different level can still interface with the stratix ii device if it has inputs that tolerate the v ccio value. (4) stratix ii devices do not support 1.2-v lvttl and 1.2-v lvcmos. stratix ii de vices support 1.2-v hstl.
altera corporation 2?95 may 2007 stratix ii device handbook, volume 1 stratix ii architecture for jtag chains, the tdo pin of the first device drives the tdi pin of the second device in the chain. the v ccsel input on jtag input i/o cells ( tck , tms , tdi , and trst ) is internally hardwired to gnd selecting the 3.3-v/2.5-v input buffer powered by v ccpd . the ideal case is to have the v ccio of the tdo bank from the first device to match the v ccsel settings for tdi on the second device, but that may not be possible depending on the application. table 2?20 contains board design recommendations to ensure proper jtag chain operation. table 2?19. board design recommendations for nceo nce input buffer power in i/o bank 3 stratix ii nceo v ccio voltage level in i/o bank 7 v ccio = 3.3 v v ccio = 2.5 v v ccio = 1.8 v v ccio = 1.5 v v ccio = 1.2 v vccsel high (v ccio bank 3 = 1.5 v) v (1) , (2) v (3) , (4) v (5) vv vccsel high (v ccio bank 3 = 1.8 v) v (1) , (2) v (3) , (4) vv level shifter required vccsel low (nce powered by v ccpd = 3.3v) v v (4) v (6) level shifter required level shifter required notes to table 2?19 : (1) input buffer is 3.3-v tolerant. (2) the nceo output buffer meets v oh (min) = 2.4 v. (3) input buffer is 2.5-v tolerant. (4) the nceo output buffer meets v oh (min) = 2.0 v. (5) input buffer is 1.8-v tolerant. (6) an external 250- pull-up resistor is not required, but recommended if signal levels on the board are not optimal. table 2?20. supported tdo/tdi voltage combinations (part 1 of 2) device tdi input buffer power stratix ii tdo v ccio voltage level in i/o bank 4 v ccio = 3.3 v v ccio = 2.5 v v ccio = 1.8 v v ccio = 1.5 v v ccio = 1.2 v stratix ii always v ccpd (3.3v) v (1) v (2) v (3) level shifter required level shifter required
2?96 altera corporation stratix ii device handbook, volume 1 may 2007 high-speed differential i/o with dpa support high-speed differential i/o with dpa support stratix ii devices contain dedicated circuitry for supporting differential standards at speeds up to 1 gbps. the lvds and hypertransport differential i/o standards are supported in the stratix ii device. in addition, the lvpecl i/o standard is supported on input and output clock pins on the top and bottom i/o banks. the high-speed differential i/o ci rcuitry supports the following high speed i/o interconnect standards and applications: spi-4 phase 2 (pos-phy level 4) sfi-4 parallel rapidio hypertransport technology there are four dedicated high-spe ed plls in the ep2s15 to ep2s30 devices and eight dedicated high-speed plls in the ep2s60 to ep2s180 devices to multiply reference clocks and drive high-speed differential serdes channels. tables 2?21 through 2?26 show the number of channels that each fast pll can clock in each of the stratix ii devices. in tables 2?21 through 2?26 the first row for each transmitter or receiv er provides the number of channels driven directly by the pll. the second row below it shows the maximum channels a pll can drive if cross bank channels are used from the adjacent center pll. for example, in the 484-pin fineline bga ep2s15 non-stratix ii vcc = 3.3 v v (1) v (2) v (3) level shifter required level shifter required vcc = 2.5 v v (1) , (4) v (2) v (3) level shifter required level shifter required vcc = 1.8 v v (1) , (4) v (2) , (5) v level shifter required level shifter required vcc = 1.5 v v (1) , (4) v (2) , (5) v (6) vv notes to table 2?20 : (1) the tdo output buffer meets v oh (min) = 2.4 v. (2) the tdo output buffer meets v oh (min) = 2.0 v. (3) an external 250- pull-up resistor is not required, but recommended if signal levels on the board are not optimal. (4) input buffer must be 3.3-v tolerant. (5) input buffer must be 2.5-v tolerant. (6) input buffer must be 1.8-v tolerant. table 2?20. supported tdo/tdi voltage combinations (part 2 of 2) device tdi input buffer power stratix ii tdo v ccio voltage level in i/o bank 4 v ccio = 3.3 v v ccio = 2.5 v v ccio = 1.8 v v ccio = 1.5 v v ccio = 1.2 v
altera corporation 2?97 may 2007 stratix ii device handbook, volume 1 stratix ii architecture device, pll 1 can drive a maximum of 10 transmitter channels in i/o bank 1 or a maximum of 19 transmitter channels in i/o banks 1 and 2. the quartus ii software may also merge re ceiver and transmitter plls when a receiver is driving a transmitter. in this case, one fast pll can drive both the maximum numbers of receiver and transmitter channels. table 2?21. ep2s15 device differential channels note (1) package transmitter/ receiver total channels center fast plls pll 1 pll 2 pll 3 pll 4 484-pin fineline bga transmitter 38 (2) 10 9 9 10 (3) 19 19 19 19 receiver 42 (2) 11 10 10 11 (3) 21 21 21 21 672-pin fineline bga transmitter 38 (2) 10 9 9 10 (3) 19 19 19 19 receiver 42 (2) 11 10 10 11 (3) 21 21 21 21 table 2?22. ep2s30 device differential channels note (1) package transmitter/ receiver total channels center fast plls pll 1 pll 2 pll 3 pll 4 484-pin fineline bga transmitter 38 (2) 10 9 9 10 (3) 19 19 19 19 receiver 42 (2) 11 10 10 11 (3) 21 21 21 21 672-pin fineline bga transmitter 58 (2) 16 13 13 16 (3) 29 29 29 29 receiver 62 (2) 17 14 14 17 (3) 31 31 31 31
2?98 altera corporation stratix ii device handbook, volume 1 may 2007 high-speed differential i/o with dpa support table 2?23. ep2s60 differential channels note (1) package transmitter/ receiver total channels center fast plls corner fast plls (4) pll 1 pll 2 pll 3 pll 4 pll 7 pll 8 pll 9 pll 10 484-pin fineline bga transmitter 38 (2) 10 9 9 10 10 9 9 10 (3) 19 19 19 19 - - - - receiver 42 (2) 11 10 10 11 11 10 10 11 (3) 21 21 21 21 - - - - 672-pin fineline bga transmitter 58 (2) 16 13 13 16 16 13 13 16 (3) 29 29 29 29 - - - - receiver 62 (2) 17 14 14 17 17 14 14 17 (3) 31 31 31 31 - - - - 1,020-pin fineline bga transmitter 84 (2) 21 21 21 21 21 21 21 21 (3) 42 42 42 42 - - - - receiver 84 (2) 21 21 21 21 21 21 21 21 (3) 42 42 42 42 - - - - table 2?24. ep2s90 differential channels note (1) package transmitter/ receiver total channels center fast plls corner fast plls (4) pll 1 pll 2 pll 3 pll 4 pll 7 pll 8 pll 9 pll 10 484-pin hybrid fineline bga transmitter 38 (2) 10 9 9 10 - - - - (3) 19 19 19 19 - - - - receiver 42 (2) 11 10 10 11 - - - - (3) 21 21 21 21 - - - - 780-pin fineline bga transmitter 64 (2) 16 16 16 16 - - - (3) 32 32 32 32 - - - - receiver 68 (2) 17 17 17 17 - - - - (3) 34 34 34 34 - - - 1,020-pin fineline bga transmitter 90 (2) 23 22 22 23 23 22 22 23 (3) 45 45 45 45 - - - - receiver 94 (2) 23 24 24 23 23 24 24 23 (3) 46 46 46 46 - - - - 1,508-pin fineline bga transmitter 118 (2) 30 29 29 30 30 29 29 30 (3) 59 59 59 59 - - - - receiver 118 (2) 30 29 29 30 30 29 29 30 (3) 59 59 59 59 - - - -
altera corporation 2?99 may 2007 stratix ii device handbook, volume 1 stratix ii architecture table 2?25. ep2s130 differential channels note (1) package transmitter/ receiver total channels center fast plls corner fast plls (4) pll 1 pll 2 pll 3 pll 4 pll 7 pll 8 pll 9 pll 10 780-pin fineline bga transmitter 64 (2) 16 16 16 16 - - - (3) 32 32 32 32 - - - - receiver 68 (2) 17 17 17 17 - - - - (3) 34 34 34 34 - - - 1,020-pin fineline bga transmitter 88 (2) 22 22 22 22 22 22 22 22 (3) 44 44 44 44 - - - - receiver 92 (2) 23 23 23 23 23 23 23 23 (3) 46 46 46 46 - - - - 1,508-pin fineline bga transmitter 156 (2) 37 41 41 37 37 41 41 37 (3) 78 78 78 78 - - - - receiver 156 (2) 37 41 41 37 37 41 41 37 (3) 78 78 78 78 - - - - table 2?26. ep2s180 differential channels note (1) package transmitter/ receiver total channels center fast plls corner fast plls (4) pll 1 pll 2 pll 3 pll 4 pll 7 pll 8 pll 9 pll 10 1,020-pin fineline bga transmitter 88 (2) 22 22 22 22 22 22 22 22 (3) 44 44 44 44 - - - - receiver 92 (2) 23 23 23 23 23 23 23 23 (3) 46 46 46 46 - - - - 1,508-pin fineline bga transmitter 156 (2) 37 41 41 37 37 41 41 37 (3) 78 78 78 78 - - - - receiver 156 (2) 37 41 41 37 37 41 41 37 (3) 78 78 78 78 - - - - notes to tables 2?21 to 2?26 : (1) the total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels. (2) this is the maximum number of channels the plls can directly drive. (3) this is the maximum number of channels if the device uses cross bank channels from the adjacent center pll. (4) the channels accessible by the cent er fast pll overlap with the channels accessible by the corner fast pll. therefore, the total number of channels is not the addition of the number of channels accessible by plls 1, 2, 3, and 4 with the number of channels accessible by plls 7, 8, 9, and 10.
2?100 altera corporation stratix ii device handbook, volume 1 may 2007 high-speed differential i/o with dpa support dedicated circuitry with dpa support stratix ii devices support source-synchronous interfacing with lvds or hypertransport signaling at up to 1 gbps. stratix ii devices can transmit or receive serial channels along with a low-speed or high-speed clock. the receiving device pll multiplies the clock by an integer factor w = 1 through 32. for example, a hypert ransport technology application where the data rate is 1,000 mbps and the clock rate is 500 mhz would require that w be set to 2. the serdes factor j determines the parallel data width to deserialize from receiv ers or to serialize for transmitters. the serdes factor j can be set to 4, 5, 6, 7, 8, 9, or 10 and does not have to equal the pll clock-multiplication w value. a design using the dynamic phase aligner also supports all of these j factor values. for a j factor of 1, the stratix ii device bypasses the serdes block. for a j factor of 2, the stratix ii device bypasses the serd es block, and the ddr input and output registers are used in the ioe. figure 2?58 shows the block diagram of the stratix ii transmitter channel. figure 2?58. stratix ii transmitter channel each stratix ii receiver channel features a dpa block for phase detection and selection, a serdes, a synchronizer , and a data realigner circuit. you can bypass the dynamic phase aligner without affecting the basic source- synchronous operation of the channel. in addition, you can dynamically switch between using the dpa block or bypassing the block via a control signal from the logic array. figure 2?59 shows the block diagram of the stratix ii receiver channel. fast pll refclk diffioclk dedicated transmitter interface local interconnect 10 + ? up to 1 gbps load_en regional or global clock data from r4, r24, c4, or direct link interconnect 10
altera corporation 2?101 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?59. stratix ii receiver channel an external pin or global or regional clock can drive the fast plls, which can output up to three clocks: two mu ltiplied high-speed clocks to drive the serdes block and/or external pin, and a low-speed clock to drive the logic array. in addition, eight phase- shifted clocks from the vco can feed to the dpa circuitry. f for more information on the fast pll, see the plls in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook . the eight phase-shifted clocks from th e fast pll feed to the dpa block. the dpa block selects the closest phase to the center of the serial data eye to sample the incoming data. this allows the source-synchronous circuitry to capture incoming data co rrectly regardless of the channel-to- channel or clock-to-channel skew. th e dpa block locks to a phase closest to the serial data phase. the phase- aligned dpa clock is used to write the data into the synchronizer. the synchronizer sits between the dpa block and the data realignment and serdes circuitry. since every channel utilizing the dpa block can have a different phase selected to sa mple the data, the synchronizer is needed to synchronize th e data to the high-speed clock domain of the data realignment and the serdes circuitry. + ? fast pll refclk load_en diffioclk regional or global clock data to r4, r24, c4, or direct link interconnect up to 1 gbps 10 dedicated receiver interface eight phase clocks data retimed_data dpa_clk dpa synchronizer 8 dq data realignment circuitry
2?102 altera corporation stratix ii device handbook, volume 1 may 2007 high-speed differential i/o with dpa support for high-speed source synchronous interfaces such as pos-phy 4, parallel rapidio, and hypertransport , the source synchr onous clock rate is not a byte- or serdes-rate multiple of the data rate. byte alignment is necessary for these protocols since th e source synchronous clock does not provide a byte or word boundary since the clock is one half the data rate, not one eighth. the stratix ii device ?s high-speed differential i/o circuitry provides dedicated data realignment circuitry for user- controlled byte boundary shifting. this simplifies designs while saving alm resources. you can use an alm-based state machine to signal the shift of receiver byte boundaries until a specified pattern is detected to indicate byte alignment. fast pll & channel layout the receiver and transmitter channels are interleaved such that each i/o bank on the left and right side of th e device has one receiver channel and one transmitter channel per lab row. figure 2?60 shows the fast pll and channel layout in the ep 2s15 and ep2s30 devices. figure 2?61 shows the fast pll and channel layout in the ep2s60 to ep2s180 devices. figure 2?60. fast pll & channel layout in the ep2s15 & ep2s30 devices note (1) note to figure 2?60 : (1) see table 2?21 for the number of channels each device supports. lvds clock dpa clock fast pll 1 fast pll 2 lvds clock dpa clock lvds clock dpa clock fast pll 4 fast pll 3 lvds clock dpa clock quadrant quadrant quadrant quadrant 4 4 4 4 4 4 2 2 2 2
altera corporation 2?103 may 2007 stratix ii device handbook, volume 1 stratix ii architecture figure 2?61. fast pll & channel layout in the ep2s60 to ep2s180 devices note (1) note to figure 2?61 : (1) see tables 2?22 through 2?26 for the number of channe ls each device supports. lvds clock dpa clock fast pll 1 fast pll 2 lvds clock dpa clock lvds clock dpa clock fast pll 4 fast pll 7 fast pll 10 fast pll 3 lvds clock dpa clock quadrant quadrant quadrant quadrant 4 4 2 4 4 4 4 2 2 2 2 2 fast pll 8 fast pll 9 2 2
2?104 altera corporation stratix ii device handbook, volume 1 may 2007 document revision history document revision history table 2?27 shows the revision history for this chapter. table 2?27. document revision history (part 1 of 2) date and document version changes made summary of changes may 2007, v4.3 updated ?clock control block? section. ? updated note in the ?clock control block? section. ? deleted tables 2-11 and 2-12. ? updated notes to: figure 2?41 figure 2?42 figure 2?43 figure 2?45 ? updated notes to table 2?18 . ? moved document revision history to end of the chapter. ? august 2006, v4.2 updated table 2?18 with note. ? april 2006, v4.1 updated table 2?13. removed note 2 from table 2?16. updated ?on-chip termination? section and table 2?19 to include parallel termination wi th calibration information. added new ?on-chip parallel termination with calibration? section. updated figure 2?44. added parallel on- chip termination description and specification. changed rclk names to match the quartus ii software in table 2?13. december 2005, v4.0 updated ?clock control block? section. ? july 2005, v3.1 updated hypertransport technology information in table 2?18. updated hypertransport technology information in figure 2?57. added information on the a synchronous clear signal. ? may 2005, v3.0 updated ?functional description? section. updated table 2?3. updated ?clock control block? section. updated tables 2?17 through 2?19. updated tables 2?20 through 2?22. updated figure 2?57. ? march 2005, 2.1 updated ?functional description? section. updated table 2?3. ?
altera corporation 2?105 may 2007 stratix ii device handbook, volume 1 stratix ii architecture january 2005, v2.0 updated the ?multivolt i/o interface? and ?trimatrix memory? sections. updated tables 2?3, 2?17, and 2?19. ? october 2004, v1.2 updated tables 2?9, 2?16, 2?26, and 2?27. ? july 2004, v1.1 updated note to tables 2?9 and 2?16. updated tables 2?16, 2?17, 2?18, 2?19, and 2?20. updated figures 2?41, 2?42, and 2?57. removed 3 from list of serdes factor j . updated ?high-speed differential i/o with dpa support? section. in ?dedicated circuitry with dpa support? section, removed xsbi and changed rapidio to parallel rapidio. ? february 2004, v1.0 added document to the stratix ii device handbook. ? table 2?27. document revision history (part 2 of 2) date and document version changes made summary of changes
2?106 altera corporation stratix ii device handbook, volume 1 may 2007 document revision history
altera corporation 3?1 may 2007 3. configuration & testing ieee std. 1149.1 jtag boundary- scan support all stratix ? ii devices provide joint test action group (jtag) boundary-scan test (bst) circuitry that complies with the ieee std. 1149.1. jtag boundary-scan testing can be performed either before or after, but not during configuratio n. stratix ii devices can also use the jtag port for configuration with the quartus ? ii software or hardware using either jam files ( .jam ) or jam byte-code files ( .jbc ). stratix ii devices support ioe i/o standard setting reconfiguration through the jtag bst chain. the jtag chain can update the i/o standard for all input and output pins any time before or during user mode through the config_io instruction. you can use this capability for jtag testing before configuratio n when some of the stratix ii pins drive or receive from other devices on the board using voltage-referenced standards. because the stratix ii devi ce may not be configured before jtag testing, the i/o pins may not be configured for appropriate electrical standards for chip-to-chip communication. programming those i/o standards via jtag allows you to fully test i/o connections to other devices. a device operating in jtag mode uses four required pins, tdi , tdo , tms , and tck , and one optional pin, trst . the tck pin has an internal weak pull-down resistor, while the tdi , tms and trst pins have weak internal pull-ups. the jtag input pins are powered by the 3.3-v vccpd pins. the tdo output pin is powered by the v ccio power supply of bank 4. stratix ii devices also use the jtag po rt to monitor the logic operation of the device with the signaltap ? ii embedded logic analyzer. stratix ii devices support the jtag instructions shown in table 3?1 . 1 stratix ii, stra tix, cyclone ? ii, and cyclone devices must be within the first 17 devices in a jt ag chain. all of these devices have the same jtag controller. if any of the stratix ii, stratix, cyclone ii, or cyclone devices are in the 18th of further position, they fail configuration. this does not affect signaltap ii. the stratix ii device instruction re gister length is 10 bits and the usercode register length is 32 bits. tables 3?2 and 3?3 show the boundary-scan register length and device idcode information for stratix ii devices. sii51003-4.2
3?2 altera corporation stratix ii device handbook, volume 1 may 2007 ieee std. 1149.1 jtag boundary-scan support table 3?1. stratix ii jtag instructions jtag instruction instr uction code description sample/preload 00 0000 0101 allows a snapshot of signals at t he device pins to be captured and examined during normal device operation, and permits an initial data pattern to be output at the device pins. also used by the signaltap ii embedded logic analyzer. extest (1) 00 0000 1111 allows the external circuitry and board-level interconnects to be tested by forcing a test pattern at the output pins and capturing test results at the input pins. bypass 11 1111 1111 places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation. usercode 00 0000 0111 selects the 32-bit usercode register and places it between the tdi and tdo pins, allowing the usercode to be serially shifted out of tdo . idcode 00 0000 0110 selects the idcode register and places it between tdi and tdo , allowing the idcode to be serially shifted out of tdo . highz (1) 00 0000 1011 places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation, while tri-stating all of the i/o pins. clamp (1) 00 0000 1010 places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation while holding i/o pins to a state defined by the data in the boundary-scan register. icr instructions used when configuring a stra tix ii device via the jtag port with a usb blaster, masterblaster?, byteblastermv?, or byteblaster ii download cable, or when using a .jam or .jbc via an embedded processor or jrunner. pulse_nconfig 00 0000 0001 emulates pulsing the nconfig pin low to trigger reconfiguration even though the physical pin is unaffected. config_io (2) 00 0000 1101 allows configuration of i/o standards through the jtag chain for jtag testing. can be executed before, during, or after configuration. stops configuration if executed during configuration. once issued, the config_io instruction holds nstatus low to reset the configuration device. nstatus is held low until the ioe configuration register is loaded and the tap controller state machine transitions to the update_dr state. signaltap ii instructions monitors internal device oper ation with the signaltap ii embedded logic analyzer. notes to ta b l e 3 ? 1 : (1) bus hold and weak pull-up resistor feat ures override the high-impedance state of highz , clamp , and extest . (2) for more informa tion on using the config_io instruction, see the morphio: an i/o reconfiguration solution for altera devices white paper .
altera corporation 3?3 may 2007 stratix ii device handbook, volume 1 configuration & testing the quartus ii software has an auto usercode feature where you can choose to use the checksum value of a programming file as the jtag user code. if selected, the checksum is automatically loaded to the usercode register. turn on the auto usercode option by clicking device & pin options , then general, in the settings dialog box (ass ignments menu). 1 stratix, stratix ii, cyclone, and cyclone ii devices must be within the first 17 devices in a jt ag chain. all of these devices have the same jtag controller. if any of the stratix, stratix ii, cyclone, and cyclone ii devices are in the 18th or after they fail configuration. this does not affect signaltap ii. table 3?2. stratix ii boundary-scan register length device boundary-scan register length ep2s15 1,140 ep2s30 1,692 ep2s60 2,196 ep2s90 2,748 ep2s130 3,420 ep2s180 3,948 table 3?3. 32-bit stratix ii device idcode device idcode (32 bits) (1) version (4 bits) part number (16 bits) manufacturer identity (11 bits) lsb (1 bit) (2) ep2s15 0000 0010 0000 1001 0001 000 0110 1110 1 ep2s30 0000 0010 0000 1001 0010 000 0110 1110 1 ep2s60 0001 0010 0000 1001 0011 000 0110 1110 1 ep2s90 0000 0010 0000 1001 0100 000 0110 1110 1 ep2s130 0000 0010 0000 1001 0101 000 0110 1110 1 ep2s180 0000 0010 0000 1001 0110 000 0110 1110 1 notes to ta b l e 3 ? 3 : (1) the most significant bit (msb) is on the left. (2) the idcode's least significant bit (lsb) is always 1.
3?4 altera corporation stratix ii device handbook, volume 1 may 2007 signaltap ii embedded logic analyzer f for more information on jtag, see the following documents: the ieee std. 1149.1 (jtag) boundary -scan testing for stratix ii & stratix ii gx devices chapter of the stratix ii devi ce handbook, volume 2 or the stratix ii gx device handbook, volume 2 jam programming & test language specification signaltap ii embedded logic analyzer stratix ii devices feature the signaltap ii embedded logic analyzer, which monitors design operation over a period of time through the ieee std. 1149.1 (jtag) circuitry. you can analyze internal logic at speed without bringing intern al signals to the i/o pi ns. this feature is particularly important for advanced packages, such as fineline bga ? packages, because it can be difficul t to add a connection to a pin during the debugging process after a board is designed and manufactured. configuration the logic, circuitry, and interconnect s in the stratix ii architecture are configured with cmos sram elements. altera ? fpga devices are reconfigurable and every device is tested with a high coverage production test program so you do not have to perform fault testing and can instead focus on simulation and design verification. stratix ii devices are configured at system power-up with data stored in an altera configuration device or provid ed by an external controller (e.g., a max ? ii device or microprocessor). stra tix ii devices can be configured using the fast passive parallel (fpp), ac tive serial (as), passive serial (ps), passive parallel asynchronous (ppa), and jtag configuration schemes. the stratix ii device?s optimized in terface allows microprocessors to configure it serially or in parallel , and synchronously or asynchronously. the interface also enables microproce ssors to treat stratix ii devices as memory and configure them by writ ing to a virtual memory location, making reconfiguration easy. in addition to the number of conf iguration methods su pported, stratix ii devices also offer the design security, decompression, and remote system upgrade features. the design securi ty feature, using configuration bitstream encryption and aes tech nology, provides a mechanism to protect your designs. the decompress ion feature allows stratix ii fpgas to receive a compressed configuration bitstream and decompress this data in real-time, reducing storage requirements and configuration time. the remote system upgrade feature allows real-time system upgrades from remote locations of your strati x ii designs. for more information, see ?configuration schemes? on page 3?7 .
altera corporation 3?5 may 2007 stratix ii device handbook, volume 1 configuration & testing operating modes the stratix ii architecture uses sram configuration elements that require configuration data to be loaded each time the circuit powers up. the process of physically loading the sr am data into the device is called configuration. during initialization, which occurs immediately after configuration, the device resets regist ers, enables i/o pins, and begins to operate as a logic device. the i/o pins are tri-stated during power-up, and before and during configuration. together, the configuration and initialization processes are called command mode. normal device operation is called user mode. sram configuration elements allow stratix ii devices to be reconfigured in-circuit by loading new configuratio n data into the device. with real- time reconfiguration, the device is forced into command mode with a device pin. the configuration process loads different configuration data, reinitializes the device, and resumes user-mode operation. you can perform in-field upgrades by distribu ting new configuration files either within the system or remotely. porsel is a dedicated input pin used to select por delay times of 12 ms or 100 ms during power-up. when the porsel pin is connected to ground, the por time is 100 ms; when the porsel pin is connected to v cc , the por time is 12 ms. the nio pullup pin is a dedicated input that chooses whether the internal pull-ups on the user i/o pins and dual-purpose configuration i/o pins ( ncso , asdo , data[7..0] , nws , nrs , rdynbsy , ncs , cs , runlu , pgm[2..0] , clkusr , init_done , dev_oe , dev_clr ) are on or off before and during configuration. a lo gic high (1.5, 1.8, 2.5, 3.3 v) turns off the weak internal pull-ups, while a logic low turns them on. stratix ii devices also of fer a new power supply, v ccpd , which must be connected to 3.3 v in order to power the 3.3-v/2.5-v buffer available on the configuration input pins and jtag pins. v ccpd applies to all the jtag input pins ( tck , tms , tdi , and trst ) and the configuration input pins when vccsel is connected to ground. see table 3?4 for more information on the pins affected by vccsel . the vccsel pin allows the v ccio setting (of the banks where the configuration inputs reside) to be independent of the voltage required by the configuration inputs. therefore, when selecting the v ccio , the v il and v ih levels driven to the configuration in puts do not have to be a concern.
3?6 altera corporation stratix ii device handbook, volume 1 may 2007 configuration the pll_ena pin and the configuration input pins ( table 3?4 ) have a dual buffer design: a 3.3-v/2.5-v in put buffer and a 1.8-v/1.5-v input buffer. the vccsel input pin selects which input buffer is used. the 3.3- v/2.5-v input buffer is powered by v ccpd, while the 1.8-v/1.5-v input buffer is powered by v ccio . table 3?4 shows the pins affected by vccsel . vccsel is sampled during power-up. therefore, the vccsel setting cannot change on the fly or during a reconfiguration. the vccsel input buffer is powered by v ccint and must be hardwired to v ccpd or ground. a logic high vccsel connection selects the 1.8-v/1.5-v input buffer, and a logic low selects the 3.3-v/2.5-v input buffer. vccsel should be set to comply with the logic levels driven out of the configuration device or max ? ii/microprocessor. if you need to support configuration input voltages of 3.3 v/2.5 v, you should set the vccsel to a logic low; you can set the v ccio of the i/o bank that contains the configuration inputs to any supported voltage. if table 3?4. pins affected by the voltage level at vccsel pin vccsel = low (connected to gnd) vccsel = high (connected to v ccpd ) nstatus (when used as an input) 3.3/2.5-v input buffer is selected. input buffer is powered by v ccpd . 1.8/1.5-v input buffer is selected. input buffer is powered by v ccio of the i/o bank. nconfig conf_done (when used as an input) data[7..0] nce dclk (when used as an input) cs nws nrs ncs clkusr dev_oe dev_clrn runlu pll_ena
altera corporation 3?7 may 2007 stratix ii device handbook, volume 1 configuration & testing you need to support configuration input voltages of 1.8 v/1.5 v, you should set the vccsel to a logic high and the v ccio of the bank that contains the configuration inputs to 1.8 v/1.5 v. f for more information on multi-volt support, including information on using tdo and nceo in multi-volt systems, refer to the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . configuration schemes you can load the configuration data for a stratix ii device with one of five configuration schemes (see table 3?5 ), chosen on the basis of the target application. you can use a configuration device, intelligent controller, or the jtag port to configure a stratix i i device. a configuration device can automatically configure a stratix i i device at system power-up. you can configure multiple stratix ii devices in any of the five configuration schemes by connect ing the configuration enable ( nce ) and configuration enable output ( nceo ) pins on each device. stratix ii fpgas offer the following: configuration data decompression to reduce configuration file storage design security using configuratio n data encryption to protect your designs remote system upgrades for rem otely updating your stratix ii designs table 3?5 summarizes which configuration features can be used in each configuration scheme. table 3?5. stratix ii configur ation features (part 1 of 2) configuration scheme configuration method desi gn security decompression remote system upgrade fpp max ii device or microprocessor and flash device v (1) v (1) v enhanced configuration device v (2) v as serial configuration device vvv (3) ps max ii device or microprocessor and flash device vvv enhanced configuration device vvv download cable (4) vv
3?8 altera corporation stratix ii device handbook, volume 1 may 2007 configuration f see the configuring stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook for more information about configur ation schemes in stratix ii and stratix ii gx devices. device security using configuration bitstream encryption stratix ii fpgas are the industry?s fi rst fpgas with the ability to decrypt a configuration bitstream using th e advanced encryption standard (aes) algorithm. when using the de sign security feature, a 128-bit security key is stored in the stratix ii fpga. to successfully configure a stratix ii fpga that has the design se curity feature enabled, it must be configured with a configuration file that was encrypted using the same 128-bit security key. the security ke y can be stored in non-volatile memory inside the stratix ii device. this non-volatile memory does not require any external devices, such as a battery back-up, for storage. ppa max ii device or microprocessor and flash device v jtag download cable (4) max ii device or microprocessor and flash device notes for ta b l e 3 ? 5 : (1) in these modes, the host system must send a dclk that is 4 the data rate. (2) the enhanced configuration device decompression featur e is available, while the stratix ii decompression feature is not available. (3) only remote update mode is supported when using the as configuration scheme. local update mode is not supported. (4) the supported download cables inc lude the altera usb blaster universal se rial bus (usb) port download cable, masterblaster serial/usb communications cable, by teblaster ii parallel port download cable, and the byteblastermv parallel port download cable. table 3?5. stratix ii configur ation features (part 2 of 2) configuration scheme configuration method desi gn security decompression remote system upgrade
altera corporation 3?9 may 2007 stratix ii device handbook, volume 1 configuration & testing 1 an encryption configuration file is the same size as a non- encryption configuration file. wh en using a serial configuration scheme such as passive serial (ps) or active serial (as), configuration time is the same whether or not the design security feature is enabled. if the fast passive parallel (fpp) scheme us used with the design security or decompression feature, a 4 dclk is required. this results in a slower configuration time when compared to the configuration time of an fpga that has neither the design security, nor decompression feature enabled. for more information about this feature, refer to an 341: using the design security feature in stratix ii devices . contact your local altera sales representative to request this document. device configuration data decompression stratix ii fpgas support decompress ion of configuration data, which saves configuration memory space an d time. this feature allows you to store compressed configuration data in configuration devices or other memory, and transmit this compressed bit stream to stratix ii fpgas. during configuration, the stratix ii fp ga decompresses the bit stream in real time and programs its sram cells. stratix ii fpgas support decompression in the fpp (when using a max ii device/microprocessor and flash memory), as and ps configuration schemes. decompression is not supported in the ppa configuration scheme nor in jtag-based configuration. remote system upgrades shortened design cycles, evolving standards, and system deployments in remote locations are difficult chal lenges faced by modern system designers. stratix ii devices can he lp effectively deal with these challenges with their inherent re-programmability and dedicated circuitry to perform remote system updates. remote system updates help deliver feature enhancements and bug fixes without costly recalls, reduce time to market, and extend product life. stratix ii fpgas feature dedicated remote system upgrade circuitry to facilitate remote system updates. soft logic (nios ? processor or user logic) implemented in the stratix ii device can download a new configuration image from a remote location, store it in configuration memory, and direct the dedicated remote system upgrade circuitry to initiate a reconfiguration cycle. the dedicated circuitry performs error detection during and after the configuration process, recovers from any error condition by reverting back to a safe configuration image, and provides
3?10 altera corporation stratix ii device handbook, volume 1 may 2007 configuration error status information. this dedicated remote system upgrade circuitry avoids system downtime and is the critical component for successful remote system upgrades. rsc is supported in the following stratix ii configuration schemes: fpp, as, ps, and ppa. rsc can also be implemented in conjunction with advanced stratix ii features such as real-time decompression of configuration data and design security using aes for secure and efficient field upgrades. f see the remote system upgrades with stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook for more information about remote configuration in stratix ii devices. configuring stratix ii fpgas with jrunner jrunner is a software driver that configures altera fpgas, including stratix ii fpgas, through the byteblaster ii or byteblastermv cables in jtag mode. the programming input file supported is in raw binary file (.rbf) format. jrunner also requires a chain description file (.cdf) generated by the quartus ii software. jrunner is targeted for embedded jtag configuration. the source code is developed for the windows nt operating system (os), but can be customized to run on other platforms. f for more information on the jrunner software driver, see the jrunner software driver: an embedded soluti on to the jtag configuration white paper and the source files on the altera web site (www.altera.com) . programming serial configur ation devices with srunner a serial configuration device can be programmed in-system by an external microprocessor using srunner. srunner is a software driver developed for embedded serial configuration device programming that can be easily customized to fit in di fferent embedded systems. srunner is able to read a .rpd file (raw programming data) and write to the serial configuration devices. the serial configuration device programming time using srunner is comparable to the programming time when using the quartus ii software. f for more information about srunner, see the srunner: an embedded solution for epcs programming white paper and the source code on the altera web site at www.altera.com . f for more information on programming serial configuration devices, see the serial configuration devices (e pcs1 & epcs4) data sheet in the configuration handbook.
altera corporation 3?11 may 2007 stratix ii device handbook, volume 1 configuration & testing configuring stratix ii fpgas with the microblaster driver the microblaster tm software driver supports an rbf programming input file and is ideal for embedded fpp or ps configuration. the source code is developed for the windows nt operating system, although it can be customized to run on other operating systems. for more information on the microblaster software driver, see the configuring the microblaster fast passive parallel software driver white paper or the configuring the microblaster passive serial so ftware driver white paper on the altera web site ( www.altera.com ). pll reconfiguration the phase-locked loops (plls) in the stratix ii device family support reconfiguration of their multiply, divide, vco-phase selection, and bandwidth selection settings without reconfiguring the entire device. you can use either serial data from th e logic array or regular i/o pins to program the pll?s counter settings in a serial chain. this option provides considerable flexibility for freque ncy synthesis, allowing real-time variation of the pll frequency and delay. the rest of the device is functional while re configuring the pll. f see the plls in stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook for more information on stratix ii plls. temperature sensing diode (tsd) stratix ii devices include a diode-co nnected transistor for use as a temperature sensor in power manageme nt. this diode is used with an external digital thermometer device. these devices steer bias current through the stratix ii diode, measur ing forward voltage and converting this reading to temperature in the form of an 8-bit signed number (7 bits plus sign). the external device's output represents the junction temperature of the stratix ii device an d can be used for intelligent power management. the diode requires two pins ( tempdiodep and tempdioden ) on the stratix ii device to connect to the external temperature-sensing device, as shown in figure 3?1 . the temperature sensing diode is a passive element and therefore can be used before the stratix ii device is powered.
3?12 altera corporation stratix ii device handbook, volume 1 may 2007 temperature sensing diode (tsd) figure 3?1. external temperature-sensing diode table 3?6 shows the specifications for bias voltage and current of the stratix ii temperature sensing diode. table 3?6. temperature-sensing di ode electrical characteristics parameter minimum typical maximum unit ibias high 80 100 120 a ibias low 8 10 12 a vbp - vbn 0.3 0.9 v vbn 0.7 v series resistance 3 stratix ii device temperature-sensing device tempdiodep tempdioden
altera corporation 3?13 may 2007 stratix ii device handbook, volume 1 configuration & testing the temperature-sensing diode works for the entire operating range, as shown in figure 3?2 . figure 3?2. temperature vs. temperature-sensing diode voltage the temperature sensing diode is a very sensitive circuit which can be influenced by noise coupled from other traces on the board, and possibly within the device package itself, depending on device usage. the interfacing device registers temperatur e based on milivolts of difference as seen at the tsd. switching i/o near the tsd pins can affect the temperature reading. altera recomme nds you take temperature readings during periods of no ac tivity in the device (for example, standby mode where no clocks are toggling in the de vice), such as when the nearby i/os are at a dc state, and disable clock networks in the device. automated single event upset (seu) detection stratix ii devices offer on-chip circuitr y for automated checking of single event upset (seu) detection. some appl ications that require the device to operate error free at high elevations or in close proximity to earth?s north or south pole require periodic checks to ensure continued data integrity. the error detection cyclic redundancy check (crc) feature controlled by 0.90 0.85 0.95 0.75 0.65 voltage (across diode) temperature (?c) 0.55 0.45 0.60 0.50 0.40 0.70 0.80 ?55 ?30 ?5 20 45 70 95 120 10 a bias current 100 a bias current
3?14 altera corporation stratix ii device handbook, volume 1 may 2007 document revision history the device & pin options dialog box in the quartus ii software uses a 32-bit crc circuit to ensure data reliab ility and is one of the best options for mitigating seu. you can implement the error detection crc feature with ex isting circuitry in stratix ii devices, eliminating the n eed for external lo gic. for stratix ii devices, crc is computed by the device during configuration and checked against an automatically computed crc during normal operation. the crc_error pin reports a soft error when configuration sram data is corrupted, triggering device reconfiguration. custom-built circuitry dedicated circuitry is built in the stratix ii devices to perform error detection automatically. this error dete ction circuitry in stratix ii devices constantly checks for errors in the configuration sram cells while the device is in user mode. you can monitor one external pin for the error and use it to trigger a re-configuration cy cle. you can select the desired time between checks by adjusting a built-in clock divider. software interface in the quartus ii software version 4.1 and later, you can turn on the automated error detection crc feature in the device & pin options dialog box. this dialog box allows you to enable the feature and set the internal frequency of the crc between 400 khz to 50 mhz. this controls the rate that the crc circuitry verifi es the internal configuration sram bits in the fpga device. for more information on crc, refer to an 357: error detection using crc in altera fpga devices . document revision history table 3?7 shows the revision history for this chapter. table 3?7. document revision history (part 1 of 2) date and document version changes made summary of changes may 2007, v4.2 moved document revision history section to the end of the chapter. ? updated the ?temperature sensing diode (tsd)? section. ?
altera corporation 3?15 may 2007 stratix ii device handbook, volume 1 configuration & testing april 2006, v4.1 updated ?device security using configuration bitstream encryption? section. ? december 2005, v4.0 updated ?software interface? section. ? may 2005, v3.0 updated ?ieee std. 1149.1 jtag boundary-scan support? section. updated ?operating modes? section. ? january 2005, v2.1 updated jtag chain device limits. ? january 2005, v2.0 updated table 3?3. ? july 2004, v1.1 added ?automated single event upset (seu) detection? section. updated ?device security using configuration bitstream encryption? section. updated figure 3?2. ? february 2004, v1.0 added document to the stratix ii device handbook. ? table 3?7. document revision history (part 2 of 2) date and document version changes made summary of changes
3?16 altera corporation stratix ii device handbook, volume 1 may 2007 document revision history
altera corporation 4?1 may 2007 4. hot socketing & power-on reset stratix ? ii devices offer hot socketing, wh ich is also known as hot plug-in or hot swap, and power sequencing support without the use of any external devices. you can insert or remove a stratix ii board in a system during system operation without ca using undesirable effects to the running system bus or the board th at was inserted into the system. the hot socketing feature also remove s some of the difficulty when you use stratix ii devices on printed circui t boards (pcbs) that also contain a mixture of 5.0-, 3.3-, 2.5-, 1.8-, 1.5- an d 1.2-v devices. with the stratix ii hot socketing feature, you no longer need to ensure a proper power-up sequence for each device on the board. the stratix ii hot socketing feature provides: board or device insertion and removal without external components or board manipulation support for any power-up sequence non-intrusive i/o buffers to system buses during hot insertion this chapter also discusses the power- on reset (por) circuitry in stratix ii devices. the por circuitry keeps the devices in the reset state until the v cc is within operating range. stratix ii hot-socketing specifications stratix ii devices offer hot socketing capability with al l three features listed above without any external components or special design requirements. the hot socketing feature in stratix ii devices allows: the device can be driven before power-up without any damage to the device itself. i/o pins remain tri-stated during power-up. the device does not drive out before or during power-up, thereby affecting other buses in operation. signal pins do not drive the v ccio , v ccpd , or v ccint power supplies. external input signals to i/o pins of the device do not internally power the v ccio or v ccint power supplies of the device via internal paths within the device. sii51004-3.2
4?2 altera corporation stratix ii device handbook, volume 1 may 2007 stratix ii hot-socketing specifications devices can be driven before power-up you can drive signals into the i/o pins, dedicated input pins and dedicated clock pins of stratix ii de vices before or during power-up or power-down without damaging the devi ce. stratix ii devices support any power-up or power- down sequence (v ccio , v ccint , and v ccpd ) in order to simplify system level design. i/o pins remain tri-stated during power-up a device that does not support hot-socketing may interrupt system operation or cause contention by driv ing out before or during power-up. in a hot socketing situation, stratix ii device's output buffers are turned off during system power-up or power- down. stratix ii device also does not drive out until the device is co nfigured and has attained proper operating conditions. signal pins do not drive the v ccio , v ccint or v ccpd power supplies devices that do not support hot-socketing can short power supplies together when powered-up through the device signal pins. this irregular power-up can damage both the driving and driven devices and can disrupt card power-up. stratix ii devices do not have a current path from i/o pins, dedicated input pins, or dedicated clock pins to the v ccio , v ccint , or v ccpd pins before or during power-up. a stratix ii device may be inserted into (or removed from) a powered-up system board without damaging or interfering with system-board operatio n. when hot-socketing, stratix ii devices may have a minimal effect on the signal integrity of the backplane. 1 you can power up or power down the v ccio , v ccint , and v ccpd pins in any sequence. the powe r supply ramp rates can range from 100 s to 100 ms. all v cc supplies must power down within 100 ms of each other to prevent i/o pins from driving out. during hot socketing, the i /o pin capacitance is less than 15 pf and the clock pin capacitance is less than 20 pf. stratix ii devices meet the following hot socketing specification. the hot socketing dc specification is: | i iopin | < 300 a. the hot socketing ac specification is: | i iopin | < 8 ma for 10 ns or less.
altera corporation 4?3 may 2007 stratix ii device handbook, volume 1 hot socketing & power-on reset i iopin is the current at any user i/o pi n on the device. this specification takes into account the pin capacitance, but not board trace and external loading capacitance. additional capacitance for trace, connector, and loading needs must be considered se parately. for the ac specification, the peak current duration is 10 ns or less because of power-up transients. for more information, refer to the hot-socketing & power-sequencing feature & testing for altera devices white paper. a possible concern regarding hot-socketing is the potential for latch-up. latch-up can occur when electrical su bsystems are hot-socketed into an active system. during hot-socketing, the signal pins may be connected and driven by the active system before the power supply can provide current to the device's v cc and ground planes. this condition can lead to latch-up and cause a low-impedance path from v cc to ground within the device. as a result, the device extends a large amount of current, possibly causing electrical damage. nevertheless, stratix ii devices are immune to latch-up when hot-socketing. hot socketing feature implementation in stratix ii devices the hot socketing feature turns off the output buffer during the power-up event (either v ccint , v ccio , or v ccpd supplies) or power down. the hot- socket circuit will generate an internal hotsckt signal when either v ccint , v ccio , or v ccpd is below threshold voltage. the hotsckt signal will cut off the output buffer to make sure that no dc current (except for weak pull up leaking) leaks through the pin. when v cc ramps up very slowly, v cc is still relatively low even after the por signal is released and the configuration is finished. the conf_done , nceo , and nstatus pins fail to respond, as the output buffer can not flip from the state set by the hot socketing circuit at this low v cc voltage. therefore, the hot socketing circuit has been removed on these co nfiguration pins to make sure that they are able to operate during configur ation. it is expected behavior for these pins to drive out during po wer-up and power-down sequences. each i/o pin has the foll owing circuitry shown in figure 4?1 .
4?4 altera corporation stratix ii device handbook, volume 1 may 2007 hot socketing feature implementation in stratix ii devices figure 4?1. hot socketing circuit block diagram for stratix ii devices the por circuit monitors v ccint voltage level and keeps i/o pins tri- stated until the device is in user mode. the weak pull-up resistor (r) from the i/o pin to v ccio is present to keep the i /o pins from floating. the 3.3-v tolerance control circuit permits the i/o pins to be driven by 3.3 v before v ccio and/or v ccint and/or v ccpd are powered, and it prevents the i/o pins from driving out when th e device is not in user mode. the hot socket circuit prevents i/o pi ns from internally powering v ccio , v ccint , and v ccpd when driven by external signals before the device is powered. figure 4?2 shows a transistor level cross section of the stratix ii device i/o buffers. this design ensures th at the output buffers do not drive when v ccio is powered before v ccint or if the i/o pad voltage is higher than v ccio . this also applies for sudden voltage spikes during hot insertion. there is no current path from signal i/o pins to v ccint or v ccio or v ccpd during hot insertion. the v pa d leakage current charges the 3.3-v tolerant circuit capacitance. output enable output hot socket output pre-driver voltage tolerance control power on reset monitor weak pull-up resistor pad input buffer to logic array r
altera corporation 4?5 may 2007 stratix ii device handbook, volume 1 hot socketing & power-on reset figure 4?2. transistor level diagram of fpga device i/o buffers notes to figure 4?2 : (1) this is the logic array signal or the larger of either the v ccio or v pa d signal. (2) this is the larger of either the v ccio or v pad signal. power-on reset circuitry stratix ii devices have a por circuit to keep the whole device system in reset state until the power supply voltage levels have stabilized during power-up. the por circuit monitors the v ccint , v ccio , and v ccpd voltage levels and tri-states all the user i/o pins while v cc is ramping up until normal user levels are reached. the por circuitry also ensures that all eight i/o bank v ccio voltages, v ccpd voltage, as well as the logic array v ccint voltage, reach an acceptable level before configuration is triggered. after the stratix ii device enters user mode, the por circuit continues to monitor the v ccint voltage level so that a brown-out condition during user mode can be detected. if there is a v ccint voltage sag below the stratix ii operational level during user mode, the por circuit resets the device. when power is applied to a stratix ii device, a power-on-reset event occurs if v cc reaches the recommended operating range within a certain period of time (specified as a maximum v cc rise time). the maximum v cc rise time for stratix ii device is 100 ms. stratix ii devices provide a dedicated input pin ( porsel ) to select por delay times of 12 or 100 ms during power-up. when the porsel pin is connected to ground, the por time is 100 ms. when the porsel pin is connected to v cc , the por time is 12 ms. logic array signal (1) (2) v ccio v pad n+ n+ n-well n+ p+ p+ p-well p-substrate
4?6 altera corporation stratix ii device handbook, volume 1 may 2007 document revision history document revision history table 4?1 shows the revision history for this chapter. table 4?1. document revision history date and document version changes made summary of changes may 2007, v3.2 moved the document revision history section to the end of the chapter. ? april 2006, v3.1 updated ?signal pins do not drive the vccio, vccint or vccpd power supplies? section. updated hot socketing ac specification. may 2005, v3.0 updated ?signal pins do not drive the vccio, vccint or vccpd power supplies? section. removed information on esd protection. ? january 2005, v2.1 updated input rise and fall time. ? january 2005, v2.0 updated the ?hot socketing feature implementation in stratix ii devices?, ?esd protection?, and ?power-on reset circuitry? sections. ? july 2004, v1.1 updated all tables. added tables. ? february 2004, v1.0 added document to the stratix ii device handbook. ?
altera corporation 5?1 april 2011 5. dc & switching characteristics operating conditions stratix ? ii devices are offered in both co mmercial and industrial grades. industrial devices are offered in -4 speed grades and commercial devices are offered in -3 (fastest), -4, -5 speed grades. tables 5?1 through 5?32 provide information about absolute maximum ratings, recommended operating conditions, dc electrical characteristics, and other specifications for stratix ii devices. absolute maximum ratings table 5?1 contains the absolute maximum ratings for the stratix ii device family. table 5?1. stratix ii device absolute maximum ratings notes (1) , (2) , (3) symbol parameter conditions minimum maximum unit v ccint supply voltage with respect to ground ?0.5 1.8 v v ccio supply voltage with respect to ground ?0.5 4.6 v v ccpd supply voltage with respect to ground ?0.5 4.6 v v cca analog power supply for plls with respect to ground ?0.5 1.8 v v ccd digital power supply for plls wi th respect to ground ?0.5 1.8 v v i dc input voltage (4) ?0.5 4.6 v i out dc output current, per pin ?25 40 ma t stg storage temperature no bias ?65 150 c t j junction temperature bga packages under bias ?55 125 c notes to ta b l e s 5 ? 1 (1) see the operating requirements for altera devices data sheet . (2) conditions beyond those listed in table 5?1 may cause permanent damage to a device. additionally, device operation at the absolute maximum ratings for extended pe riods of time may have adve rse affects on the device. (3) supply voltage specifications apply to voltage readin gs taken at the device pins, not at the power supply. (4) during transitions, the inputs may overshoot to the voltage shown in table 5?2 based upon the input duty cycle. the dc case is equivalent to 100% dut y cycle. during transitions, the inputs may undershoot to ?2.0 v for input currents less than 100 ma and periods shorter than 20 ns. sii51005-4.5
5?2 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions recommended oper ating conditions table 5?3 contains the stratix ii device family recommended operating conditions. table 5?2. maximum duty cy cles in voltage transitions symbol parameter condition maximum duty cycles unit v i maximum duty cycles in voltage transitions v i = 4.0 v 100 % v i = 4.1 v 90 % v i = 4.2 v 50 % v i = 4.3 v 30 % v i = 4.4 v 17 % v i = 4.5 v 10 % table 5?3. stratix ii device recomm ended operating conditions (part 1 of 2) note (1) symbol parameter conditions minimum maximum unit v ccint supply voltage for internal logic 100 s risetime 100 ms (3) 1.15 1.25 v v ccio supply voltage for input and output buffers, 3.3-v operation 100 s risetime 100 ms (3) , (6) 3.135 (3.00) 3.465 (3.60) v supply voltage for input and output buffers, 2.5-v operation 100 s risetime 100 ms (3) 2.375 2.625 v supply voltage for input and output buffers, 1.8-v operation 100 s risetime 100 ms (3) 1.71 1.89 v supply voltage for output buffers, 1.5-v operation 100 s risetime 100 ms (3) 1.425 1.575 v supply voltage for input and output buffers, 1.2-v operation 100 s risetime 100 ms (3) 1.14 1.26 v v ccpd supply voltage for pre-drivers as well as configuration and jtag i/o buffers. 100 s risetime 100 ms (4) 3.135 3.465 v v cca analog power supply for plls 100 s risetime 100 ms (3) 1.15 1.25 v v ccd digital power supply for plls 100 s risetime 100 ms (3) 1.15 1.25 v v i input voltage (see ta b l e 5 ? 2 ) (2) , (5) ?0.5 4.0 v v o output voltage 0 v ccio v
altera corporation 5?3 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics dc electrical characteristics table 5?4 shows the stratix ii device family dc electrical characteristics. t j operating junction temperature for commercial use 0 85 c for industrial use ?40 100 c for military use (7) ?55 125 c notes to ta b l e 5 ? 3 : (1) supply voltage specifications apply to voltage readin gs taken at the device pins, not at the power supply. (2) during transitions, the inputs may overshoot to the voltage shown in table 5?2 based upon the input duty cycle. the dc case is equivalent to 100% dut y cycle. during transitions, the inputs may undershoot to ?2.0 v for input currents less than 100 ma and periods shorter than 20 ns. (3) maximum v cc rise time is 100 ms, and v cc must rise monotonically from ground to v cc . (4) v ccpd must ramp-up from 0 v to 3.3 v within 100 s to 100 ms. if v ccpd is not ramped up within this specified time, your stratix ii device does not configure succ essfully. if your system does not allow for a v ccpd ramp-up time of 100 ms or less, you must hold nconfig low until all power supplies are reliable. (5) all pins, including dedicated inputs, clock, i/o, and jtag pins, may be driven before v ccint , v ccpd , and v ccio are powered. (6) v ccio maximum and minimum conditions for pc i and pci-x are shown in parentheses. (7) for more information, refer to the stratix ii military temperature range support technical brief. table 5?3. stratix ii device recomm ended operating conditions (part 2 of 2) note (1) symbol parameter conditions minimum maximum unit table 5?4. stratix ii device dc operating conditions (part 1 of 2) note (1) symbol parameter conditions minimum typical maximum unit i i input pin leakage current v i = v cciomax to 0 v (2) ?10 10 a i oz tri-stated i/o pin leakage current v o = v cciomax to 0 v (2) ?10 10 a i ccint0 v ccint supply current (standby) v i = ground, no load, no toggling inputs t j = 25 c ep2s15 0.25 (3) a ep2s30 0.30 (3) a ep2s60 0.50 (3) a ep2s90 0.62 (3) a ep2s130 0.82 (3) a ep2s180 1.12 (3) a i ccpd0 v ccpd supply current (standby) v i = ground, no load, no toggling inputs t j = 25 c, v ccpd = 3.3v ep2s15 2.2 (3) ma ep2s30 2.7 (3) ma ep2s60 3.6 (3) ma ep2s90 4.3 (3) ma ep2s130 5.4 (3) ma ep2s180 6.8 (3) ma
5?4 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions i/o standard specifications tables 5?5 through 5?32 show the stratix ii device family i/o standard specifications. i cci00 v ccio supply current (standby) v i = ground, no load, no toggling inputs t j = 25 c ep2s15 4.0 (3) ma ep2s30 4.0 (3) ma ep2s60 4.0 (3) ma ep2s90 4.0 (3) ma ep2s130 4.0 (3) ma ep2s180 4.0 (3) ma r conf (4) value of i/o pin pull-up resistor before and during configuration vi = 0; v ccio = 3.3 v 10 25 50 k vi = 0; v ccio = 2.5 v 15 35 70 k vi = 0; v ccio = 1.8 v 30 50 100 k vi = 0; v ccio = 1.5 v 40 75 150 k vi = 0; v ccio = 1.2 v 50 90 170 k recommended value of i/o pin external pull-down resistor before and during configuration 12k notes to ta b l e 5 ? 4 : (1) typical values are for t a = 25c, v ccint = 1.2 v, and v ccio = 1.5 v, 1.8 v, 2.5 v, and 3.3 v. (2) this value is specified for normal device operation. the value may vary during power-up. this applies for all v ccio settings (3.3, 2.5, 1.8, and 1.5 v). (3) maximum values depend on the actual t j and design utilization. see the excel-based powerplay early power estimator (available at www.altera.com ) or the quartus ii powerplay power analyzer feature for maximum values. see the section ?power consumption? on page 5?20 for more information. (4) pin pull-up resistance values are lower if an external source drives the pin higher than v ccio . table 5?4. stratix ii device dc operating conditions (part 2 of 2) note (1) symbol parameter conditions minimum typical maximum unit table 5?5. lvttl specifications (part 1 of 2) symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 3.135 3.465 v v ih high-level input voltage 1.7 4.0 v v il low-level input voltage ?0.3 0.8 v v oh high-level output voltage i oh = ?4 ma (2) 2.4 v
altera corporation 5?5 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics v ol low-level output voltage i ol = 4 ma (2) 0.45 v notes to ta b l e s 5 ? 5 : (1) stratix ii devices comply to the narrow range for the supply voltage as specified in the eia/jedec standard, jesd8-b. (2) this specification is supported across all the programmabl e drive strength settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?6. lvcmos specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 3.135 3.465 v v ih high-level input voltage 1.7 4.0 v v il low-level input voltage ?0.3 0.8 v v oh high-level output voltage v ccio = 3.0, i oh = ?0.1 ma (2) v ccio ? 0.2 v v ol low-level output voltage v ccio = 3.0, i ol = 0.1 ma (2) 0.2 v notes to ta b l e 5 ? 6 : (1) stratix ii devices comply to the narrow range for the supply voltage as specified in the eia/jedec standard, jesd8-b. (2) this specification is supported acro ss all the programmable drive strength available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?7. 2.5-v i/o specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 2.375 2.625 v v ih high-level input voltage 1.7 4.0 v v il low-level input voltage ?0.3 0.7 v v oh high-level output voltage i oh = ?1ma (2) 2.0 v v ol low-level output voltage i ol = 1 ma (2) 0.4 v notes to ta b l e 5 ? 7 : (1) stratix ii devices v ccio voltage level support of 2.5 -5% is narrow er than defined in the normal range of the eia/jedec standard. (2) this specification is supported across all the programmab le drive settings available fo r this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?5. lvttl specifications (part 2 of 2) symbol parameter conditions minimum maximum unit
5?6 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions figures 5?1 and 5?2 show receiver input and transmitter output waveforms, respectively, for all differential i/o standards (lvds, lvpecl, and hypertransport technology). table 5?8. 1.8-v i/o specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 1.71 1.89 v v ih high-level input voltage 0.65 v ccio 2.25 v v il low-level input voltage ?0.30 0.35 v ccio v v oh high-level output voltage i oh = ?2 ma (2) v ccio ? 0.45 v v ol low-level output voltage i ol = 2 ma (2) 0.45 v notes to ta b l e 5 ? 8 : (1) the stratix ii device family?s v ccio voltage level support of 1.8 -5% is narrower than defined in the normal range of the eia/jedec standard. (2) this specification is supported across all the programmab le drive settings available fo r this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?9. 1.5-v i/o specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 1.425 1.575 v v ih high-level input voltage 0.65 v ccio v ccio + 0.30 v v il low-level input voltage ?0.30 0.35 v ccio v v oh high-level output voltage i oh = ?2 ma (2) 0.75 v ccio v v ol low-level output voltage i ol = 2 ma (2) 0.25 v ccio v notes to ta b l e 5 ? 9 : (1) the stratix ii device family?s v ccio voltage level support of 1.5 -5% is narrower than defined in the normal range of the eia/jedec standard. (2) this specification is supported across all the programmab le drive settings available fo r this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook .
altera corporation 5?7 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics figure 5?1. receiver input waveform s for differential i/o standards figure 5?2. transmitter output wavefo rms for differential i/o standards single-ended waveform differential waveform positive channel (p) = v ih negative channel (n) = v il ground v id v id v id p ? n = 0 v v cm single-ended waveform differential waveform positive channel (p) = v oh negative channel (n) = v ol ground v od v od v od p ? n = 0 v v cm
5?8 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions table 5?10. 2.5-v lvds i/o specifications symbol parameter conditions minimum typical maximum unit v ccio i/o supply voltage for left and right i/o banks (1, 2, 5, and 6) 2.375 2.500 2.625 v v id input differential voltage swing (single-ended) 100 350 900 mv v icm input common mode voltage 200 1,250 1,800 mv v od output differential voltage (single-ended) r l = 100 250 450 mv v ocm output common mode voltage r l = 100 1.125 1.375 v r l receiver differential input discrete resistor (external to stratix ii devices) 90 100 110 table 5?11. 3.3-v lvds i/o specifications symbol parameter conditions minimum typical maximum unit v ccio (1) i/o supply voltage for top and bottom pll banks (9, 10, 11, and 12) 3.135 3.300 3.465 v v id input differential voltage swing (single-ended) 100 350 900 mv v icm input common mode voltage 200 1,250 1,800 mv v od output differential voltage (single-ended) r l = 100 250 710 mv v ocm output common mode voltage r l = 100 840 1,570 mv r l receiver differential input discrete resistor (external to stratix ii devices) 90 100 110 note to ta b l e 5 ? 11 : (1) the top and bottom clock input differential buffer s in i/o banks 3, 4, 7, and 8 are powered by v ccint , not v ccio . the pll clock output/feedback differential buffers are powered by vcc_pll_out . for differential clock output/feedback operation, vcc_pll_out should be connected to 3.3 v.
altera corporation 5?9 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?12. lvpecl specifications symbol parameter conditions minimum typical maximum unit v ccio (1) i/o supply voltage 3.135 3.300 3.465 v v id input differential voltage swing (single-ended) 300 600 1,000 mv v icm input common mode voltage 1.0 2.5 v v od output differential voltage (single-ended) r l = 100 525 970 mv v ocm output common mode voltage r l = 100 1,650 2,250 mv r l receiver differential input resistor 90 100 110 note to table 5?12 : (1) the top and bottom clock input differential buffer s in i/o banks 3, 4, 7, and 8 are powered by v ccint , not v ccio . the pll clock output/feedback differential buffers are powered by vcc_pll_out . for differential clock output/feedback operation, vcc_pll_out should be connected to 3.3 v. table 5?13. hypertransport te chnology spec ifications symbol parameter conditions mi nimum typical maximum unit v ccio i/o supply voltage for left and right i/o banks (1, 2, 5, and 6) 2.375 2.500 2.625 v v id input differential voltage swing (single-ended) r l = 100 300 600 900 mv v icm input common mode voltage r l = 100 385 600 845 mv v od output differential voltage (single-ended) r l = 100 400 600 820 mv v od change in v od between high and low r l = 100 75 mv v ocm output common mode voltage r l = 100 440 600 780 mv v ocm change in v ocm between high and low r l = 100 50 mv r l receiver differential input resistor 90 100 110 table 5?14. 3.3-v pci specifications (part 1 of 2) symbol parameter conditions mi nimum typical maximum unit v ccio output supply voltage 3.0 3.3 3.6 v v ih high-level input voltage 0.5 v ccio v ccio + 0.5 v
5?10 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions v il low-level input voltage ?0.3 0.3 v ccio v v oh high-level output voltage i out = ?500 a0.9 v ccio v v ol low-level output voltage i out = 1,500 a0.1 v ccio v table 5?15. pci-x mode 1 specifications symbol parameter conditions mi nimum typical maximum unit v ccio output supply voltage 3.0 3.6 v v ih high-level input voltage 0.5 v ccio v ccio + 0.5 v v il low-level input voltage ?0.30 0.35 v ccio v v ipu input pull-up voltage 0.7 v ccio v v oh high-level output voltage i out = ?500 a0.9 v ccio v v ol low-level output voltage i out = 1,500 a0.1 v ccio v table 5?16. sstl-18 clas s i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v ref reference voltage 0.855 0.900 0.945 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ih (dc) high-level dc input voltage v ref + 0.125 v v il (dc) low-level dc input voltage v ref ? 0.125 v v ih (ac) high-level ac input voltage v ref + 0.25 v v il (ac) low-level ac input voltage v ref ? 0.25 v v oh high-level output voltage i oh = ?6.7 ma (1) v tt + 0.475 v v ol low-level output voltage i ol = 6.7 ma (1) v tt ? 0.475 v note to table 5?16 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?14. 3.3-v pci specifications (part 2 of 2) symbol parameter conditions mi nimum typical maximum unit
altera corporation 5?11 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?17. sstl-18 clas s ii specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v ref reference voltage 0.855 0.900 0.945 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ih (dc) high-level dc input voltage v ref + 0.125 v v il (dc) low-level dc input voltage v ref ? 0.125 v v ih (ac) high-level ac input voltage v ref + 0.25 v v il (ac) low-level ac input voltage v ref ? 0.25 v v oh high-level output voltage i oh = ?13.4 ma (1) v ccio ? 0.28 v v ol low-level output voltage i ol = 13.4 ma (1) 0.28 v note to table 5?17 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?18. sstl-18 class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v swing (dc) dc differential input voltage 0.25 v v x (ac) ac differential input cross point voltage (v ccio /2) ? 0.175 (v ccio /2) + 0.175 v v swing (ac) ac differential input voltage 0.5 v v iso input clock signal offset voltage 0.5 v ccio v v iso input clock signal offset voltage variation 200 mv v ox (ac) ac differential cross point voltage (v ccio /2) ? 0.125 (v ccio /2) + 0.125 v
5?12 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions table 5?19. sstl-2 class i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 2.375 2.500 2.625 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ref reference voltage 1.188 1.250 1.313 v v ih (dc) high-level dc input voltage v ref + 0.18 3.00 v v il (dc) low-level dc input voltage ?0.30 v ref ? 0.18 v v ih (ac) high-level ac input voltage v ref + 0.35 v v il (ac) low-level ac input voltage v ref - 0.35 v v oh high-level output voltage i oh = ?8.1 ma (1) v tt + 0.57 v v ol low-level output voltage i ol = 8.1 ma (1) v tt ? 0.57 v note to table 5?19 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?20. sstl-2 class ii specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 2.375 2.500 2.625 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ref reference voltage 1.188 1.250 1.313 v v ih (dc) high-level dc input voltage v ref + 0.18 v ccio + 0.30 v v il (dc) low-level dc input voltage ?0.30 v ref ? 0.18 v v ih (ac) high-level ac input voltage v ref + 0.35 v v il (ac) low-level ac input voltage v ref - 0.35 v v oh high-level output voltage i oh = ?16.4 ma (1) v tt + 0.76 v v ol low-level output voltage i ol = 16.4 ma (1) v tt ? 0.76 v note to table 5?20 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook .
altera corporation 5?13 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?21. sstl-2 class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 2.375 2.500 2.625 v v swing (dc) dc differential input voltage 0.36 v v x (ac) ac differential input cross point voltage (v ccio /2) ? 0.2 (v ccio /2) + 0.2 v v swing (ac) ac differential input voltage 0.7 v v iso input clock signal offset voltage 0.5 v ccio v v iso input clock signal offset voltage variation 200 mv v ox (ac) ac differential output cross point voltage (v ccio /2) ? 0.2 (v ccio /2) + 0.2 v table 5?22. 1.2-v hstl specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.14 1.20 1.26 v v ref reference voltage 0.48 v ccio 0.50 v ccio 0.52 v ccio v v ih (dc) high-level dc input voltage v ref + 0.08 v ccio + 0.15 v v il (dc) low-level dc input voltage ?0.15 v ref ? 0.08 v v ih (ac) high-level ac input voltage v ref + 0.15 v ccio + 0.24 v v il (ac) low-level ac input voltage ?0.24 v ref ? 0.15 v v oh high-level output voltage i oh = 8 ma v ref + 0.15 v ccio + 0.15 v v ol low-level output voltage i oh = ?8 ma ?0.15 v ref ? 0.15 v
5?14 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions table 5?23. 1.5-v hstl class i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.425 1.500 1.575 v v ref input reference voltage 0.713 0.750 0.788 v v tt termination voltage 0.713 0.750 0.788 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 8 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?8 ma (1) 0.4 v note to table 5?23 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?24. 1.5-v hstl class ii specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.425 1.500 1.575 v v ref input reference voltage 0.713 0.750 0.788 v v tt termination voltage 0.713 0.750 0.788 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 16 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?16 ma (1) 0.4 v note to table 5?24 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook .
altera corporation 5?15 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?25. 1.5-v hstl class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio i/o supply voltage 1.425 1.500 1.575 v v dif (dc) dc input differential voltage 0.2 v v cm (dc) dc common mode input voltage 0.68 0.90 v v dif (ac) ac differential input voltage 0.4 v v ox (ac) ac differential cross point voltage 0.68 0.90 v table 5?26. 1.8-v hstl class i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v ref input reference voltage 0.85 0.90 0.95 v v tt termination voltage 0.85 0.90 0.95 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 8 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?8 ma (1) 0.4 v note to table 5?26 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook .
5?16 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions table 5?27. 1.8-v hstl class ii specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v ref input reference voltage 0.85 0.90 0.95 v v tt termination voltage 0.85 0.90 0.95 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 16 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?16 ma (1) 0.4 v note to table 5?27 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the stratix ii architecture chapter in volume 1 of the stratix ii device handbook . table 5?28. 1.8-v hstl class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio i/o supply voltage 1.71 1.80 1.89 v v dif (dc) dc input differential voltage 0.2 v ccio + 0.6 v v v cm (dc) dc common mode input voltage 0.78 1.12 v v dif (ac) ac differential input voltage 0.4 v ccio + 0.6 v v v ox (ac) ac differential cross point voltage 0.68 0.90 v
altera corporation 5?17 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics bus hold specifications table 5?29 shows the stratix ii device family bus hold specifications. on-chip termination specifications tables 5?30 and 5?31 define the specification for internal termination resistance tolerance when using series or differential on-chip termination. table 5?29. bus hold parameters parameter conditions v ccio level unit 1.2 v 1.5 v 1.8 v 2.5 v 3.3 v min max min max min max min max min max low sustaining current v in > v il (maximum) 22.5 25.0 30.0 50.0 70.0 a high sustaining current v in < v ih (minimum) ?22.5 ?25.0 ?30.0 ?50.0 ?70.0 a low overdrive current 0 v < v in < v ccio 120 160 200 300 500 a high overdrive current 0 v < v in < v ccio ?120 ?160 ?200 ?300 ?500 a bus-hold trip point 0.45 0.95 0.50 1.00 0.68 1.07 0.70 1.70 0.80 2.00 v table 5?30. series on-chip termi nation specification for top & bottom i/o banks (part 1 of 2) notes (1) , 2 symbol description conditions resistance tolerance commercial max industrial max unit 25- r s 3.3/2.5 internal series termination with calibration (25- setting) v ccio = 3.3/2.5 v 5 10 % internal series termination without calibration (25- setting) v ccio = 3.3/2.5 v 30 30 %
5?18 altera corporation stratix ii device handbook, volume 1 april 2011 operating conditions 50- r s 3.3/2.5 internal series termination with calibration (50- setting) v ccio = 3.3/2.5 v 5 10 % internal series termination without calibration (50- setting) v ccio = 3.3/2.5 v 30 30 % 50- r t 2.5 internal parallel termination with calibration (50- setting) v ccio = 1.8 v 30 30 % 25- r s 1.8 internal series termination with calibration (25- setting) v ccio = 1.8 v 5 10 % internal series termination without calibration (25- setting) v ccio = 1.8 v 30 30 % 50- r s 1.8 internal series termination with calibration (50- setting) v ccio = 1.8 v 5 10 % internal series termination without calibration (50- setting) v ccio = 1.8 v 30 30 % 50- r t 1.8 internal parallel termination with calibration (50- setting) v ccio = 1.8 v 10 15 % 50 ? r s 1.5 internal series termination with calibration (50- setting) v ccio = 1.5 v 8 10 % internal series termination without calibration (50- setting) v ccio = 1.5 v 36 36 % 50- r t 1.5 internal parallel termination with calibration (50- setting) v ccio = 1.5 v 10 15 % 50 ? r s 1.2 internal series termination with calibration (50- setting) v ccio = 1.2 v 8 10 % internal series termination without calibration (50- setting) v ccio = 1.2 v 50 50 % 50- r t 1.2 internal parallel termination with calibration (50- setting) v ccio = 1.2 v 10 15 % notes for ta b l e 5 ? 3 0 : (1) the resistance tolerances for calibrated soct and poct are for the moment of calibrat ion. if the temperature or voltage changes over time, the tolerance may also change. (2) on-chip parallel termination with calibr ation is only supported for input pins. table 5?30. series on-chip termi nation specification for top & bottom i/o banks (part 2 of 2) notes (1) , 2 symbol description conditions resistance tolerance commercial max industrial max unit
altera corporation 5?19 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics pin capacitance table 5?32 shows the stratix ii device family pin capacitance. table 5?31. series & differential on-chip terminat ion specification for left & right i/o banks symbol description conditions resistance tolerance commercial max industrial max unit 25- r s 3.3/2.5 internal series termination without calibration (25- setting ) v ccio = 3.3/2.5 v 30 30 % 50- r s 3.3/2.5/1.8 internal series termination without calibration (50- setting ) v ccio = 3.3/2.5/1.8 v 30 30 % 50- r s 1.5 internal series termination without calibration (50- setting ) v ccio = 1.5 v 36 36 % r d internal differential termination for lvds or hypertransport technology (100- setting ) v ccio = 2.5 v 20 25 % table 5?32. stratix ii device capacitance note (1) symbol parameter typical unit c iotb input capacitance on i/o pins in i/o banks 3, 4, 7, and 8. 5.0 pf c iolr input capacitance on i/o pins in i/o banks 1, 2, 5, and 6, including high- speed differential receiv er and transmitter pins. 6.1 pf c clktb input capacitance on top/bottom clock input pins: clk[4..7] and clk[12..15] . 6.0 pf c clklr input capacitance on left/right clock inputs: clk0 , clk2 , clk8 , clk10 . 6.1 pf c clklr+ input capacitance on left/right clock inputs: clk1 , clk3 , clk9 , and clk11 . 3.3 pf c outfb input capacitance on dual-purpose clock output/feedback pins in pll banks 9, 10, 11, and 12. 6.7 pf note to table 5?32 : (1) capacitance is sample-tested only. capacitance is me asured using time-domain reflections (tdr). measurement accuracy is within 0.5pf
5?20 altera corporation stratix ii device handbook, volume 1 april 2011 power consumption power consumption altera ? offers two ways to calculate power for a design: the excel-based powerplay early power estimator po wer calculator and the quartus ? ii powerplay power analyzer feature. the interactive excel-based powerplay early power estimator is typically used prior to designing the fpga in order to get an estimate of device power. the quartus ii powerplay power analyzer provides better quality estimates based on the specif ics of the design after place-and- route is complete. the power analyzer can apply a combination of user- entered, simulation-derived and es timated signal ac tivities which, combined with detailed circuit mode ls, can yield very accurate power estimates. in both cases, these calculations should only be used as an estimation of power, not as a specification. f for more information about powerplay tools, refer to the powerplay early power estimator user guide and the powerplay early power estimator and powerplay power analyzer chapters in volume 3 of the quartus ii handbook . the powerplay early power estimator is available on the altera web site at www.altera.com . see table 5?4 on page 5?3 for typical i cc standby specifications. timing model the directdrive tm technology and multitrack tm interconnect ensure predictable performance, accurate simulation, and ac curate timing analysis across al l stratix ii device densities and speed grades. this section describes and specifies the performance, internal timing, external timing, and pll, high-speed i/o, ex ternal memory interface, and jtag timing specifications. all specifications are representative of worst-case supply voltage and junction temperature conditions. 1 the timing numbers listed in th e tables of this section are extracted from the quartus ii software version 5.0 sp1. preliminary & final timing timing models can have either preliminary or final status. the quartus ii software issues an informational me ssage during the design compilation if the timing models are preliminary. table 5?33 shows the status of the stratix ii device timing models.
altera corporation 5?21 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics preliminary status means the timing model is subject to change. initially, timing numbers are created using simulation results, process data, and other known parameters. these tests are used to make the preliminary numbers as close to the actual timing parameters as possible. final timing numbers are based on ac tual device operation and testing. these numbers reflect the actual performance of the device under worst-case voltage and juncti on temperature conditions. i/o timing measurement methodology altera characterizes timing delays at the worst-case process, minimum voltage, and maximum temperature for input register setup time (t su ) and hold time (t h ). the quartus ii software uses the following equations to calculate t su and t h timing for stratix ii devices input signals. t su = + data delay from input pin to input register + micro setup time of the input register ? clock delay from input pin to input register t h = ? data delay from input pin to input register + micro hold time of the input register + clock delay from input pin to input register figure 5?3 shows the setup and hold timing diagram for input registers. table 5?33. stratix ii device timing model status device preliminary final ep2s15 v ep2s30 v ep2s60 v ep2s90 v ep2s130 v ep2s180 v
5?22 altera corporation stratix ii device handbook, volume 1 april 2011 timing model figure 5?3. input register setup & hold timing diagram for output timing, different i/o standards require different baseline loading techniques for reporting ti ming delays. altera characterizes timing delays with the required termination for each i/o standard and with 0 pf (except for pci and pci- x which use 10 pf) loading and the timing is specified up to the output pin of the fpga device. the quartus ii software calculates the i/o timing for each i /o standard with a default baseline loading as specified by the i/o standards. the following measurements are made during device characterization. altera measures clock-to-output delays (t co ) at worst-case process, minimum voltage, and maximum temperature (pvt) for default loading conditions shown in table 5?34 . use the following equations to calculate clock pin to output pin timing for stratix ii devices. t co from clock pin to i/o pin = dela y from clock pad to i/o output register + ioe output register clock-to-output delay + delay from output register to output pin + i/o output delay t xz /t zx from clock pin to i/o pin = delay from clock pad to i/o output register + ioe output regi ster clock-to-output delay + delay from output register to output pin + i/o output delay + output enable pin delay simulation using ibis models is required to determine the delays on the pcb traces in addition to the output pin delay timing reported by the quartus ii software and the timing model in the device handbook. 1. simulate the output driver of choi ce into the generalized test setup, using values from table 5?34 . 2. record the time to v meas . 3. simulate the output driver of ch oice into the actual pcb trace and load, using the appropriate ibis model or capacitance value to represent the load. input data delay input clock delay micro t su micro t h
altera corporation 5?23 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 4. record the time to v meas . 5. compare the results of steps 2 and 4. the increase or decrease in delay should be added to or subt racted from the i/o standard output adder delays to yield the actual worst-case propagation delay (clock-to-output) of the pcb trace. the quartus ii software reports the ti ming with the conditions shown in table 5?34 using the above equation. figure 5?4 shows the model of the circuit that is represented by the outp ut timing of the quartus ii software. figure 5?4. output delay timing reporting setup modeled by quartus ii notes to figure 5?4 : (1) output pin timing is reported at the ou tput pin of the fpga device. additional delays for loading and board trace delay need to be accounted for with ibis model simulations. (2) v ccpd is 3.085 v unless otherwise specified. (3) v ccint is 1.12 v unless otherwise specified. figures 5?5 and 5?6 show the measurement setup for output disable and output enable timing. output buffer v tt v ccio r d output n output p r t c l r s v meas output gnd gnd
5?24 altera corporation stratix ii device handbook, volume 1 april 2011 timing model table 5?34. output timing measurem ent methodology for output pins notes (1) , (2) , (3) i/o standard loading and termination measurement point r s ( )r d ( )r t ( )v ccio (v) v tt (v) c l (pf) v meas (v) lv t t l (4) 3.135 0 1.5675 lv c m o s (4) 3.135 0 1.5675 2.5 v (4) 2.375 0 1.1875 1.8 v (4) 1.710 0 0.855 1.5 v (4) 1.425 0 0.7125 pci (5) 2.970 10 1.485 pci-x (5) 2.970 10 1.485 sstl-2 class i 25 50 2.325 1.123 0 1.1625 sstl-2 class ii 25 25 2.325 1.123 0 1.1625 sstl-18 class i 25 50 1.660 0.790 0 0.83 sstl-18 class ii 25 25 1.660 0.790 0 0.83 1.8-v hstl class i 50 50 1.660 0.790 0 0.83 1.8-v hstl class ii 25 25 1.660 0.790 0 0.83 1.5-v hstl class i 50 50 1.375 0.648 0 0.6875 1.5-v hstl class ii 25 1.375 0.648 0 0.6875 1.2-v hstl with oct 50 1.140 0 0.570 differential sstl-2 class i 50 50 2.325 1.123 0 1.1625 differential sstl-2 class ii 25 25 2.325 1.123 0 1.1625 differential sstl-18 class i 50 50 1.660 0.790 0 0.83 differential sstl-18 class ii 25 25 1.660 0.790 0 0.83 1.5-v differential hstl class i 50 50 1.375 0.648 0 0.6875 1.5-v differential hstl class ii 25 1.375 0.648 0 0.6875 1.8-v differential hstl class i 50 50 1.660 0.790 0 0.83 1.8-v differential hstl class ii 25 25 1.660 0.790 0 0.83 lvds 100 2.325 0 1.1625 hypertransport 100 2.325 0 1.1625 lvpecl 100 3.135 0 1.5675 notes to table 5?34 : (1) input measurement point at internal node is 0.5 v ccint . (2) output measuring point for v meas at buffer output is 0.5 v ccio . (3) input stimulus edge rate is 0 to v cc in 0.2 ns (internal signal) from the driver preceding the i/o buffer. (4) less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v with less than 30-mv ripple (5) v ccpd = 2.97 v, less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v
altera corporation 5?25 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics figure 5?5. measurement setup for t xz note (1) note to figure 5?5 : (1) v ccint is 1.12 v for this measurement. t xz , driving high to tristate t xz , driving low to tristate 100 din oe dout v ccio oe enable disable dout din t lz 100 mv ? v ccint ?0? 100 din oe dout oe enable disable dout din t hz 100 mv ? v ccint ?1? gnd
5?26 altera corporation stratix ii device handbook, volume 1 april 2011 timing model figure 5?6. measurement setup for t zx table 5?35 specifies the input ti ming measurement setup. t zx , tristate to driving high t zx , tristate to driving low 1 m din oe dout 1 m din oe dout oe disable enable dout din t zh ? v ccint ?1? ? v cci o oe disable enable dout din ? v ccint ?0? t zl ? v cci o table 5?35. timing measurement metho dology for input pins (part 1 of 2) notes (1) ? (4) i/o standard measurement conditions measurement point v ccio (v) v ref (v) edge rate (ns) v meas (v) lv t t l (5) 3.135 3.135 1.5675 lv c m o s (5) 3.135 3.135 1.5675 2.5 v (5) 2.375 2.375 1.1875 1.8 v (5) 1.710 1.710 0.855 1.5 v (5) 1.425 1.425 0.7125 pci (6) 2.970 2.970 1.485 pci-x (6) 2.970 2.970 1.485 sstl-2 class i 2.325 1.163 2.325 1.1625 sstl-2 class ii 2.325 1.163 2.325 1.1625 sstl-18 class i 1.660 0.830 1.660 0.83 sstl-18 class ii 1.660 0.830 1.660 0.83 1.8-v hstl class i 1.660 0.830 1.660 0.83
altera corporation 5?27 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics performance table 5?36 shows stratix ii performance for some common designs. all performance values were obtained with the quartus ii software compilation of library of paramete rized modules (lpm), or megacore ? functions for the finite impulse respon se (fir) and fast fourier transform (fft) designs. 1.8-v hstl class ii 1.660 0.830 1.660 0.83 1.5-v hstl class i 1.375 0.688 1.375 0.6875 1.5-v hstl class ii 1.375 0.688 1.375 0.6875 1.2-v hstl with oct 1.140 0.570 1.140 0.570 differential sstl-2 class i 2.325 1.163 2.325 1.1625 differential sstl-2 class ii 2.325 1.163 2.325 1.1625 differential sstl-18 class i 1.660 0.830 1.660 0.83 differential sstl-18 class ii 1.660 0.830 1.660 0.83 1.5-v differential hstl class i 1.375 0.688 1.375 0.6875 1.5-v differential hstl class ii 1.375 0.688 1.375 0.6875 1.8-v differential hstl class i 1.660 0.830 1.660 0.83 1.8-v differential hstl class ii 1.660 0.830 1.660 0.83 lvds 2.325 0.100 1.1625 hypertransport 2.325 0.400 1.1625 lvpecl 3.135 0.100 1.5675 notes to table 5?35 : (1) input buffer sees no load at buffer input. (2) input measuring point at buffer input is 0.5 v ccio . (3) output measuring point is 0.5 v cc at internal node. (4) input edge rate is 1 v/ns. (5) less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v with less than 30-mv ripple (6) v ccpd = 2.97 v, less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v table 5?35. timing measurement metho dology for input pins (part 2 of 2) notes (1) ? (4) i/o standard measurement conditions measurement point v ccio (v) v ref (v) edge rate (ns) v meas (v)
5?28 altera corporation stratix ii device handbook, volume 1 april 2011 timing model 1 the performance numbers in table 5?36 are extracted from the quartus ii software version 5.1 sp1. table 5?36. stratix ii performance notes (part 1 of 6) note (1) applications resources used performance aluts trimatrix memory blocks dsp blocks -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit le 16-to-1 multiplexer (4) 21 0 0 654.87 625.0 523.83 460.4 mhz 32-to-1 multiplexer (4) 38 0 0 519.21 473.26 464.25 384.17 mhz 16-bit counter 16 0 0 566.57 538.79 489.23 421.05 mhz 64-bit counter 64 0 0 244.31 232.07 209.11 181.38 mhz tr i m a t r i x memory m512 block simple dual-port ram 32 18 bit 0 1 0 500.00 476.19 434.02 373.13 mhz fifo 32 x 18 bit 22 1 0 500.00 476.19 434.78 373.13 mhz tr i m a t r i x memory m4k block simple dual-port ram 128 x 36 bit ( 8 ) 0 1 0 540.54 515.46 469.48 401.60 mhz true dual-port ram 128 18 bit ( 8 ) 0 1 0 540.54 515.46 469.48 401.60 mhz fifo 128 36 bit 22 1 0 530.22 499.00 469.48 401.60 mhz simple dual-port ram 128 36 bit (9) 0 1 0 475.28 453.30 413.22 354.10 mhz true dual-port ram 128 18 bit (9) 0 1 0 475.28 453.30 413.22 354.10 mhz
altera corporation 5?29 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics tr i m a t r i x memory m-ram block single port ram 4k 144 bit 0 1 0 349.65 333.33 303.95 261.09 mhz simple dual-port ram 4k 144 bit 0 1 0 420.16 400.00 364.96 313.47 mhz true dual-port ram 4k 144 bit 0 1 0 349.65 333.33 303.95 261.09 mhz single port ram 8k 72 bit 0 1 0 354.60 337.83 307.69 263.85 mhz simple dual-port ram 8k 72 bit 0 1 0 420.16 400.00 364.96 313.47 mhz true dual-port ram 8k 72 bit 0 1 0 349.65 333.33 303.95 261.09 mhz single port ram 16k 36 bit 0 1 0 364.96 347.22 317.46 271.73 mhz simple dual-port ram 16k 36 bit 0 1 0 420.16 400.00 364.96 313.47 mhz true dual-port ram 16k 36 bit 0 1 0 359.71 342.46 313.47 268.09 mhz single port ram 32k 18 bit 0 1 0 364.96 347.22 317.46 271.73 mhz simple dual-port ram 32k 18 bit 0 1 0 420.16 400.0 364.96 313.47 mhz true dual-port ram 32k 18 bit 0 1 0 359.71 342.46 313.47 268.09 mhz single port ram 64k 9 bit 0 1 0 364.96 347.22 317.46 271.73 mhz simple dual-port ram 64k 9 bit 0 1 0 420.16 400.0 364.96 313.47 mhz true dual-port ram 64k 9 bit 0 1 0 359.71 342.46 313.47 268.09 mhz table 5?36. stratix ii performance notes (part 2 of 6) note (1) applications resources used performance aluts trimatrix memory blocks dsp blocks -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit
5?30 altera corporation stratix ii device handbook, volume 1 april 2011 timing model dsp block 9 9-bit multiplier (5) 0 0 1 430.29 409.16 373.13 320.10 mhz 18 18-bit multiplier (5) 0 0 1 410.17 390.01 356.12 305.06 mhz 18 18-bit multiplier (7) 0 0 1 450.04 428.08 391.23 335.12 mhz 36 36-bit multiplier (5) 0 0 1 250.00 238.15 217.48 186.60 mhz 36 36-bit multiplier (6) 0 0 1 410.17 390.01 356.12 305.06 mhz 18-bit, four-tap fir filter 0 0 1 410.17 390.01 356.12 305.06 mhz larger designs 8-bit,16-tap parallel fir filter 58 0 4 259.06 240.61 217.15 185.01 mhz 8-bit, 1024-point, streaming, three multipliers and five adders fft function 2976 22 9 398.72 364.03 355.23 306.37 mhz 8-bit, 1024-point, streaming, four multipliers and two adders fft function 2781 22 12 398.56 409.16 347.22 311.13 mhz 8-bit, 1024-point, single output, one parallel fft engine, burst, three multipliers and five adders fft function 984 5 3 425.17 365.76 346.98 292.39 mhz 8-bit, 1024-point, single output, one parallel fft engine, burst, four multipliers and two adders fft function 919 5 4 427.53 378.78 357.14 307.59 mhz table 5?36. stratix ii performance notes (part 3 of 6) note (1) applications resources used performance aluts trimatrix memory blocks dsp blocks -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit
altera corporation 5?31 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics larger designs 8-bit, 1024-point, single output, two parallel fft engines, burst, three multiplier and five adders fft function 1725 10 6 430.29 401.92 373.13 319.08 mhz 8-bit, 1024-point, single output, two parallel fft engines, burst, four multipliers and two adders fft function 1594 10 8 422.65 407.33 373.13 329.10 mhz 8-bit, 1024-point, quadrant output, one parallel fft engine, burst, three multipliers and five adders fft function 2361 10 9 315.45 342.81 325.73 284.25 mhz 8-bit, 1024-point, quadrant output, one parallel fft engine, burst, four multipliers and two adders fft function 2165 10 12 373.13 369.54 317.96 256.14 mhz 8-bit, 1024-point, quadrant output, two parallel fft engines, burst, three multipliers and five adders fft function 3996 14 18 378.50 367.10 332.33 288.68 mhz 8-bit, 1024-point, quadrant output, two parallel fft engines, burst, four multipliers and two adders fft function 3604 14 24 391.38 361.14 340.25 280.89 mhz table 5?36. stratix ii performance notes (part 4 of 6) note (1) applications resources used performance aluts trimatrix memory blocks dsp blocks -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit
5?32 altera corporation stratix ii device handbook, volume 1 april 2011 timing model larger designs 8-bit, 1024-point, quadrant output, four parallel fft engines, burst, three multipliers and five adders fft function 6850 28 36 334.11 345.66 308.54 276.31 mhz 8-bit, 1024-point, quadrant output, four parallel fft engines, burst, four multipliers two adders fft function 6067 28 48 367.91 349.04 327.33 268.24 mhz 8-bit, 1024-point, quadrant output, one parallel fft engine, buffered burst, three multipliers and adders fft function 2730 18 9 387.44 388.34 364.56 306.84 mhz 8-bit, 1024-point, quadrant output, one parallel fft engine, buffered burst, four multipliers and two adders fft function 2534 18 12 419.28 369.66 364.96 307.88 mhz 8-bit, 1024-point, quadrant output, two parallel fft engines, buffered burst, three multipliers five adders fft function 4358 30 18 396.51 378.07 340.13 291.29 mhz 8-bit, 1024-point, quadrant output, two parallel fft engines, buffered burst four multipliers and two adders fft function 3966 30 24 389.71 398.08 356.53 280.74 mhz table 5?36. stratix ii performance notes (part 5 of 6) note (1) applications resources used performance aluts trimatrix memory blocks dsp blocks -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit
altera corporation 5?33 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics larger designs 8-bit, 1024-point, quadrant output, four parallel fft engines, buffered burst, three multipliers five adders fft function 7385 60 36 359.58 352.98 312.01 278.00 mhz 8-bit, 1024-point, quadrant output, four parallel fft engines, buffered burst, four multipliers and two adders fft function 6601 60 48 371.88 355.74 327.86 277.62 mhz notes for ta b l e 5 ? 3 6 : (1) these design performance numbers were obtain ed using the quartus ii software version 5.0 sp1. (2) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (3) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (4) this application uses regi stered inputs and outputs. (5) this application uses registered multiplier input and output stages within the dsp block. (6) this application uses registered multiplier input, pipeline, and output stages within the dsp block. (7) this application uses registered multi plier input with output of the multip lier stage feeding the accumulator or subtractor within the dsp block. (8) this application uses the same clock source that is globally routed and connected to ports a and b. (9) this application uses locally routed clocks or differently sourced clocks for ports a and b. table 5?36. stratix ii performance notes (part 6 of 6) note (1) applications resources used performance aluts trimatrix memory blocks dsp blocks -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit
5?34 altera corporation stratix ii device handbook, volume 1 april 2011 timing model internal timing parameters see tables 5?37 through 5?42 for internal timing parameters. table 5?37. le_ff internal timing microparameters symbol parameter -3 speed grade (1) -3 speed grade (2) -4 speed grade -5 speed grade unit min (3) max min (3) max min (4) max min (3) max t su le register setup time before clock 90 95 104 104 121 ps t h le register hold time after clock 149 157 172 172 200 ps t co le register clock-to-output delay 62 94 62 99 59 62 109 62 127 ps t clr minimum clear pulse width 204 214 234 234 273 ps t pre minimum preset pulse width 204 214 234 234 273 ps t clkl minimum clock low time 612 642 703 703 820 ps t clkh minimum clock high time 612 642 703 703 820 ps t lut 162 378 162 397 162 170 435 162 507 ps t adder 354 619 354 650 354 372 712 354 829 ps notes to table 5?37 : (1) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (2) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (3) for the -3 and -5 speed grades, the minimum timing is fo r the commercial temperature grade. only -4 speed grade devices offer the industrial temperature grade. (4) for the -4 speed grade, the first number is the mi nimum timing parameter for indu strial devices. the second number is the minimum timing pa rameter for commercial devices.
altera corporation 5?35 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?38. ioe internal timing microparameters symbol parameter -3 speed grade (1) -3 speed grade (2) -4 speed grade -5 speed grade unit min (3) max min (3) max min (4) max min (3) max t su ioe input and output register setup time before clock 122 128 140 140 163 ps t h ioe input and output register hold time after clock 72 75 82 82 96 ps t co ioe input and output register clock-to- output delay 101 169 101 177 97 101 194 101 226 ps t pin2combout_r row input pin to ioe combinational output 410 760 410 798 391 410 873 410 1,018 ps t pin2combout_c column input pin to ioe combinational output 428 787 428 825 408 428 904 428 1,054 ps t combin2pin_r row ioe data input to combinational output pin 1,101 2,026 1,101 2,127 1,049 1,101 2,329 1,101 2,439 ps t combin2pin_c column ioe data input to combinational output pin 991 1,854 991 1,946 944 991 2,131 991 2,246 ps t clr minimum clear pulse width 200 210 229 229 268 ps t pre minimum preset pulse width 200 210 229 229 268 ps t clkl minimum clock low time 600 630 690 690 804 ps t clkh minimum clock high time 600 630 690 690 804 ps notes to table 5?38 : (1) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (2) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (3) for the -3 and -5 speed grades, the minimum timing is fo r the commercial temperature grade. only -4 speed grade devices offer the industrial temperature grade. (4) for the -4 speed grade, the first number is the mi nimum timing parameter for indu strial devices. the second number is the minimum timing pa rameter for commercial devices.
5?36 altera corporation stratix ii device handbook, volume 1 april 2011 timing model table 5?39. dsp block internal timing microparameters (part 1 of 2) symbol parameter -3 speed grade (1) -3 speed grade (2) -4 speed grade -5 speed grade unit min (3) max min (3) max min (4) max min (3) max t su input, pipeline, and output register setup time before clock 50 52 57 57 67 ps t h input, pipeline, and output register hold time after clock 180 189 206 206 241 ps t co input, pipeline, and output register clock- to-output delay 00 00 0 0 0 0 0 ps t inreg2pipe9 input register to dsp block pipeline register in 9 9-bit mode 1,312 2,030 1,312 2,030 1,250 1,312 2,334 1,312 2,720 ps t inreg2pipe18 input register to dsp block pipeline register in 18 18-bit mode 1,302 2,010 1,302 2,110 1,240 1,302 2,311 1,302 2,693 ps t inreg2pipe36 input register to dsp block pipeline register in 36 36-bit mode 1,302 2,010 1,302 2,110 1,240 1,302 2,311 1,302 2,693 ps t pipe2outreg2add dsp block pipeline register to output register delay in two- multipliers adder mode 924 1,450 924 1,522 880 924 1,667 924 1,943 ps t pipe2outreg4add dsp block pipeline register to output register delay in four- multipliers adder mode 1,134 1,850 1,134 1,942 1,080 1,134 2,127 1,134 2,479 ps t pd9 combinational input to output delay for 99 2,100 2,880 2,100 3,024 2,000 2,100 3,312 2,100 3,859 ps t pd18 combinational input to output delay for 18 18 2,110 2,990 2,110 3,139 2,010 2,110 3,438 2,110 4,006 ps t pd36 combinational input to output delay for 36 36 2,939 4,450 2,939 4,672 2,800 2,939 5,117 2,939 5,962 ps t clr minimum clear pulse width 2,212 2,322 2,543 2,543 2,964 ps
altera corporation 5?37 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics t clkl minimum clock low time 1,190 1,249 1,368 1,368 1,594 ps t clkh minimum clock high time 1,190 1,249 1,368 1,368 1,594 ps notes to table 5?39 : (1) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (2) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (3) for the -3 and -5 speed grades, the minimum timing is fo r the commercial temperature grade. only -4 speed grade devices offer the industrial temperature grade. (4) for the -4 speed grade, the first number is the mi nimum timing parameter for indu strial devices. the second number is the minimum timing pa rameter for commercial devices. table 5?40. m512 block internal timing microparameters (part 1 of 2) note (1) symbol parameter -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit min (4) max min (4) max min (5) max min (4) max t m512rc synchronous read cycle time 2,089 2,318 2,089 2.433 1,989 2,089 2,664 2,089 3,104 ps t m512weresu write or read enable setup time before clock 22 23 25 25 29 ps t m512wereh write or read enable hold time after clock 203 213 233 233 272 ps t m512datasu data setup time before clock 22 23 25 25 29 ps t m512datah data hold time after clock 203 213 233 233 272 ps t m512waddrsu write address setup time before clock 22 23 25 25 29 ps t m512waddrh write address hold time after clock 203 213 233 233 272 ps t m512raddrsu read address setup time before clock 22 23 25 25 29 ps t m512raddrh read address hold time after clock 203 213 233 233 272 ps table 5?39. dsp block internal timing microparameters (part 2 of 2) symbol parameter -3 speed grade (1) -3 speed grade (2) -4 speed grade -5 speed grade unit min (3) max min (3) max min (4) max min (3) max
5?38 altera corporation stratix ii device handbook, volume 1 april 2011 timing model t m512dataco1 clock-to-output delay when using output registers 298 478 298 501 284 298 548 298 640 ps t m512dataco2 clock-to-output delay without output registers 2,102 2,345 2,102 2,461 2,003 2,102 2,695 2,102 3,141 ps t m512clkl minimum clock low time 1,315 1,380 1,512 1,512 1,762 ps t m512clkh minimum clock high time 1,315 1,380 1,512 1,512 1,762 ps t m512clr minimum clear pulse width 144 151 165 165 192 ps notes to table 5?40 : (1) f max of m512 block obtained using the quartus ii soft ware does not necessarily equal to 1/tm512rc. (2) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (3) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (4) for the -3 and -5 speed grades, the minimum timing is fo r the commercial temperature grade. only -4 speed grade devices offer the industrial temperature grade. (5) for the -4 speed grade, the first number is the mi nimum timing parameter for indu strial devices. the second number is the minimum timing pa rameter for commercial devices. table 5?41. m4k block internal timing microparameters (part 1 of 2) note (1) symbol parameter -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit min (4) max min (4) max min (5) max min (4) max t m4krc synchronous read cycle time 1,462 2,240 1,462 2,351 1,393 1,462 2,575 1,462 3,000 ps t m4kweresu write or read enable setup time before clock 22 23 25 25 29 ps t m4kwereh write or read enable hold time after clock 203 213 233 233 272 ps t m4kbesu byte enable setup time before clock 22 23 25 25 29 ps t m4kbeh byte enable hold time after clock 203 213 233 233 272 ps table 5?40. m512 block internal timing microparameters (part 2 of 2) note (1) symbol parameter -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit min (4) max min (4) max min (5) max min (4) max
altera corporation 5?39 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics t m4kdataasu a port data setup time before clock 22 23 25 25 29 ps t m4kdataah a port data hold time after clock 203 213 233 233 272 ps t m4kaddrasu a port address setup time before clock 22 23 25 25 29 ps t m4kaddrah a port address hold time after clock 203 213 233 233 272 ps t m4kdatabsu b port data setup time before clock 22 23 25 25 29 ps t m4kdatabh b port data hold time after clock 203 213 233 233 272 ps t m4kraddrbsu b port address setup time before clock 22 23 25 25 29 ps t m4kraddrbh b port address hold time after clock 203 213 233 233 272 ps t m4kdataco1 clock-to-output delay when using output registers 334 524 334 549 319 334 601 334 701 ps t m4kdataco2 (6) clock-to-output delay without output registers 1,616 2,453 1,616 2,574 1,540 1,616 2,820 1,616 3,286 ps t m4kclkh minimum clock high time 1,250 1,312 1,437 1,437 1,675 ps t m4kclkl minimum clock low time 1,250 1,312 1,437 1,437 1,675 ps t m4kclr minimum clear pulse width 144 151 165 165 192 ps notes to table 5?41 : (1) f max of m4k block obtained using the quartus ii so ftware does not necessarily equal to 1/tm4krc. (2) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (3) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (4) for the -3 and -5 speed grades, the minimum timing is fo r the commercial temperature grade. only -4 speed grade devices offer the industrial temperature grade. (5) for the -4 speed grade, the first number is the mi nimum timing parameter for indu strial devices. the second number is the minimum timing pa rameter for commercial devices. (6) numbers apply to unpacked memory modes, true dual-p ort memory modes, and simple dual-port memory modes that use locally routed or non-identical sources for the a and b port registers. table 5?41. m4k block internal timing microparameters (part 2 of 2) note (1) symbol parameter -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit min (4) max min (4) max min (5) max min (4) max
5?40 altera corporation stratix ii device handbook, volume 1 april 2011 timing model table 5?42. m-ram block internal ti ming microparameters (part 1 of 2) note (1) symbol parameter -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit min (4) max min (4) max min (5) max min (4) max t megarc synchronous read cycle time 1,866 2,774 1,866 2,911 1,777 1,866 3,189 1,777 1,866 3,716 ps t megaweresu write or read enable setup time before clock 144 151 165 165 192 ps t megawereh write or read enable hold time after clock 39 40 44 44 52 ps t megabesu byte enable setup time before clock 50 52 57 57 67 ps t megabeh byte enable hold time after clock 39 40 44 44 52 ps t megadataasu a port data setup time before clock 50 52 57 57 67 ps t megadataah a port data hold time after clock 243 255 279 279 325 ps t megaaddrasu a port address setup time before clock 589 618 677 677 789 ps t megaaddrah a port address hold time after clock 241 253 277 277 322 ps t megadatabsu b port setup time before clock 50 52 57 57 67 ps t megadatabh b port hold time after clock 243 255 279 279 325 ps t megaaddrbsu b port address setup time before clock 589 618 677 677 789 ps t megaaddrbh b port address hold time after clock 241 253 277 277 322 ps t megadataco1 clock-to-output delay when using output registers 480 715 480 749 457 480 821 480 957 ps t megadataco2 clock-to-output delay without output registers 1,950 2,899 1,950 3,042 1,857 1,950 3,332 1,950 3,884 ps t megaclkl minimum clock low time 1,250 1,312 1,437 1,437 1,675 ps
altera corporation 5?41 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics stratix ii clock timing parameters see tables 5?43 through 5?67 for stratix ii clock timing parameters. t megaclkh minimum clock high time 1,250 1,312 1,437 1,437 1,675 ps t megaclr minimum clear pulse width 144 151 165 165 192 ps notes to table 5?42 : (1) f max of m-ram block obtained using the quartus ii so ftware does not necessarily equal to 1/tmegarc. (2) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (3) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. (4) for the -3 and -5 speed grades, the minimum timing is fo r the commercial temperature grade. only -4 speed grade devices offer the industrial temperature grade. (5) for the -4 speed grade, the first number is the mi nimum timing parameter for indu strial devices. the second number is the minimum timing pa rameter for commercial devices. table 5?42. m-ram block internal ti ming microparameters (part 2 of 2) note (1) symbol parameter -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit min (4) max min (4) max min (5) max min (4) max table 5?43. stratix ii clock timing parameters symbol parameter t cin delay from clock pad to i/o input register t cout delay from clock pad to i/o output register t pllcin delay from pll inclk pad to i/o input register t pllcout delay from pll inclk pad to i/o output register
5?42 altera corporation stratix ii device handbook, volume 1 april 2011 timing model ep2s15 clock timing parameters tables 5?44 though 5?47 show the maximum clock timing parameters for ep2s15 devices. table 5?44. ep2s15 column pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.445 1.512 2.487 2.848 3.309 ns t cout 1.288 1.347 2.245 2.570 2.985 ns t pllcin 0.104 0.102 0.336 0.373 0.424 ns t pllcout -0.053 -0.063 0.094 0.095 0.1 ns table 5?45. ep2s15 column pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.419 1.487 2.456 2.813 3.273 ns t cout 1.262 1.322 2.214 2.535 2.949 ns t pllcin 0.094 0.092 0.326 0.363 0.414 ns t pllcout -0.063 -0.073 0.084 0.085 0.09 ns table 5?46. ep2s15 row pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.232 1.288 2.144 2.454 2.848 ns t cout 1.237 1.293 2.140 2.450 2.843 ns t pllcin -0.109 -0.122 -0.007 -0.021 -0.037 ns t pllcout -0.104 -0.117 -0.011 -0.025 -0.042 ns
altera corporation 5?43 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics ep2s30 clock timing parameters tables 5?48 through 5?51 show the maximum clock timing parameters for ep2s30 devices. table 5?47. ep2s15 row pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.206 1.262 2.113 2.422 2.815 ns t cout 1.211 1.267 2.109 2.418 2.810 ns t pllcin -0.125 -0.138 -0.023 -0.038 -0.056 ns t pllcout -0.12 -0.133 -0.027 -0.042 -0.061 ns table 5?48. ep2s30 column pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.553 1.627 2.639 3.025 3.509 ns t cout 1.396 1.462 2.397 2.747 3.185 ns t pllcin 0.114 0.113 0.225 0.248 0.28 ns t pllcout -0.043 -0.052 -0.017 -0.03 -0.044 ns table 5?49. ep2s30 column pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.539 1.613 2.622 3.008 3.501 ns t cout 1.382 1.448 2.380 2.730 3.177 ns t pllcin 0.101 0.098 0.209 0.229 0.267 ns t pllcout -0.056 -0.067 -0.033 -0.049 -0.057 ns
5?44 altera corporation stratix ii device handbook, volume 1 april 2011 timing model ep2s60 clock timing parameters tables 5?52 through 5?55 show the maximum clock timing parameters for ep2s60 devices. table 5?50. ep2s30 row pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.304 1.184 1.966 2.251 2.616 ns t cout 1.309 1.189 1.962 2.247 2.611 ns t pllcin -0.135 ?0.158 ?0.208 ?0.254 ?0.302 ns t pllcout -0.13 ?0.153 ?0.212 ?0.258 ?0.307 ns table 5?51. ep2s30 row pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.289 1.352 2.238 2.567 2.990 ns t cout 1.294 1.357 2.234 2.563 2.985 ns t pllcin -0.14 -0.154 -0.169 -0.205 -0.254 ns t pllcout -0.135 -0.149 -0.173 -0.209 -0.259 ns table 5?52. ep2s60 column pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.681 1.762 2.945 3.381 3.931 ns t cout 1.524 1.597 2.703 3.103 3.607 ns t pllcin 0.066 0.064 0.279 0.311 0.348 ns t pllcout -0.091 -0.101 0.037 0.033 0.024 ns
altera corporation 5?45 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?53. ep2s60 column pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.658 1.739 2.920 3.350 3.899 ns t cout 1.501 1.574 2.678 3.072 3.575 ns t pllcin 0.06 0.057 0.278 0.304 0.355 ns t pllcout -0.097 -0.108 0.036 0.026 0.031 ns table 5?54. ep2s60 row pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.463 1.532 2.591 2.972 3.453 ns t cout 1.468 1.537 2.587 2.968 3.448 ns t pllcin -0.153 -0.167 -0.079 -0.099 -0.128 ns t pllcout -0.148 -0.162 -0.083 -0.103 -0.133 ns table 5?55. ep2s60 row pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.439 1.508 2.562 2.940 3.421 ns t cout 1.444 1.513 2.558 2.936 3.416 ns t pllcin -0.161 -0.174 -0.083 -0.107 -0.126 ns t pllcout -0.156 -0.169 -0.087 -0.111 -0.131 ns
5?46 altera corporation stratix ii device handbook, volume 1 april 2011 timing model ep2s90 clock timing parameters tables 5?56 through 5?59 show the maximum clock timing parameters for ep2s90 devices. table 5?56. ep2s90 column pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.768 1.850 3.033 3.473 4.040 ns t cout 1.611 1.685 2.791 3.195 3.716 ns t pllcin -0.127 -0.117 0.125 0.129 0.144 ns t pllcout -0.284 -0.282 -0.117 -0.149 -0.18 ns table 5?57. ep2s90 column pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.783 1.868 3.058 3.502 4.070 ns t cout 1.626 1.703 2.816 3.224 3.746 ns t pllcin -0.137 -0.127 0.115 0.119 0.134 ns t pllcout -0.294 -0.292 -0.127 -0.159 -0.19 ns table 5?58. ep2s90 row pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.566 1.638 2.731 3.124 3.632 ns t cout 1.571 1.643 2.727 3.120 3.627 ns t pllcin -0.326 -0.326 -0.178 -0.218 -0.264 ns t pllcout -0.321 -0.321 -0.182 -0.222 -0.269 ns
altera corporation 5?47 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics ep2s130 clock timing parameters tables 5?60 through 5?63 show the maximum clock timing parameters for ep2s130 devices. table 5?59. ep2s90 row pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.585 1.658 2.757 3.154 3.665 ns t cout 1.590 1.663 2.753 3.150 3.660 ns t pllcin -0.341 -0.341 -0.193 -0.235 -0.278 ns t pllcout -0.336 -0.336 -0.197 -0.239 -0.283 ns table 5?60. ep2s130 column pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.889 1.981 3.405 3.722 4.326 ns t cout 1.732 1.816 3.151 3.444 4.002 ns t pllcin 0.105 0.106 0.226 0.242 0.277 ns t pllcout -0.052 -0.059 -0.028 -0.036 -0.047 ns table 5?61. ep2s130 column pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.907 1.998 3.420 3.740 4.348 ns t cout 1.750 1.833 3.166 3.462 4.024 ns t pllcin 0.134 0.136 0.276 0.296 0.338 ns t pllcout -0.023 -0.029 0.022 0.018 0.014 ns
5?48 altera corporation stratix ii device handbook, volume 1 april 2011 timing model ep2s1 8 0 clock timing parameters tables 5?64 through 5?67 show the maximum clock timing parameters for ep2s180 devices. table 5?62. ep2s130 row pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.680 1.760 3.070 3.351 3.892 ns t cout 1.685 1.765 3.066 3.347 3.887 ns t pllcin -0.113 -0.124 -0.12 -0.138 -0.168 ns t pllcout -0.108 -0.119 -0.124 -0.142 -0.173 ns table 5?63. ep2s130 row pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.690 1.770 3.075 3.362 3.905 ns t cout 1.695 1.775 3.071 3.358 3.900 ns t pllcin -0.087 -0.097 -0.075 -0.089 -0.11 ns t pllcout -0.082 -0.092 -0.079 -0.093 -0.115 ns table 5?64. ep2s180 column pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 2.001 2.095 3.643 3.984 4.634 ns t cout 1.844 1.930 3.389 3.706 4.310 ns t pllcin -0.307 -0.297 0.053 0.046 0.048 ns t pllcout -0.464 -0.462 -0.201 -0.232 -0.276 ns
altera corporation 5?49 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?65. ep2s180 column pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 2.003 2.100 3.652 3.993 4.648 ns t cout 1.846 1.935 3.398 3.715 4.324 ns t pllcin -0.3 -0.29 0.053 0.054 0.058 ns t pllcout -0.457 -0.455 -0.201 -0.224 -0.266 ns table 5?66. ep2s180 row pins regional clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.759 1.844 3.273 3.577 4.162 ns t cout 1.764 1.849 3.269 3.573 4.157 ns t pllcin -0.542 -0.541 -0.317 -0.353 -0.414 ns t pllcout -0.537 -0.536 -0.321 -0.357 -0.419 ns table 5?67. ep2s180 row pins global clock timing parameters parameter minimum timing -3 speed grade -4 speed grade -5 speed grade unit industrial commercial t cin 1.763 1.850 3.285 3.588 4.176 ns t cout 1.768 1.855 3.281 3.584 4.171 ns t pllcin -0.542 -0.542 -0.319 -0.355 -0.42 ns t pllcout -0.537 -0.537 -0.323 -0.359 -0.425 ns
5?50 altera corporation stratix ii device handbook, volume 1 april 2011 timing model clock network skew adders the quartus ii software models skew within dedicated clock networks such as global and regi onal clocks. therefore, intra-clock network skew adder is not specified. table 5?68 specifies the clock skew between any two clock networks driving registers in the ioe. table 5?68. clock network specifications name description min typ max unit clock skew adder ep2s15, ep2s30, ep2s60 (1) inter-clock network, same side 50 ps inter-clock network, entire chip 100 ps clock skew adder ep2s90 (1) inter-clock network, same side 55 ps inter-clock network, entire chip 110 ps clock skew adder ep2s130 (1) inter-clock network, same side 63 ps inter-clock network, entire chip 125 ps clock skew adder ep2s180 (1) inter-clock network, same side 75 ps inter-clock network, entire chip 150 ps note to table 5?68 : (1) this is in addition to intra-clock network sk ew, which is modeled in the quartus ii software.
altera corporation 5?51 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics ioe programmable delay see tables 5?69 and 5?70 for ioe programmable delay. table 5?69. stratix ii ioe progra mmable delay on column pins note (1) parameter paths affected available settings minimum timing (2) -3 speed grade (3) -4 speed grade -5 speed grade min offset (ps) max offset (ps) min offset (ps) max offset (ps) min offset (ps) max offset (ps) min offset (ps) max offset (ps) input delay from pin to internal cells pad to i/o dataout to logic array 80 0 1,696 1,781 0 0 2,881 3,025 0 3,313 0 3,860 input delay from pin to input register pad to i/o input register 64 0 0 1,955 2,053 0 0 3,275 3,439 0 3,766 0 4,388 delay from output register to output pin i/o output register to pad 20 0 316 332 0 0 500 525 0 575 0 670 output enable pin delay t xz , t zx 20 0 305 320 0 0 483 507 0 556 0 647 notes to table 5?69 : (1) the incremental values for the settings are generally linea r. for the exact delay associated with each setting, use the latest version of the quartus ii software. (2) the first number is the minimum timing parameter for industrial devices. the second number is the minimum timing parameter for commercial devices. (3) the first number applies to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. the second number applies to -3 speed grade ep2s130 and ep2s180 devices.
5?52 altera corporation stratix ii device handbook, volume 1 april 2011 timing model default capacitive loading of different i/o standards see table 5?71 for default capacitive loading of different i/o standards. table 5?70. stratix ii ioe prog rammable delay on row pins note (1) parameter paths affected available settings minimum timing (2) -3 speed grade (3) -4 speed grade -5 speed grade min offset (ps) max offset (ps) min offset (ps) max offset (ps) min offset (ps) max offset (ps) min offset (ps) max offset (ps) input delay from pin to internal cells pad to i/o dataout to logic array 80 0 1,697 1,782 0 0 2,876 3,020 0 3,308 0 3,853 input delay from pin to input register pad to i/o input register 64 0 0 1,956 2,054 0 0 3,270 3,434 0 3,761 0 4,381 delay from output register to output pin i/o output register to pad 20 0 316 332 0 0 525 525 0 575 0 670 output enable pin delay t xz , t zx 20 0 305 320 0 0 507 507 0 556 0 647 notes to table 5?70 : (1) the incremental values for the settings are generally linear. for the exact delay associated with each setting, use the latest version of the quartus ii software. (2) the first number is the minimum timing parameter for industrial devices. the second number is the minimum timing parameter for commercial devices. (3) the first number applies to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. the second number applies to -3 speed grade ep2s130 and ep2s180 devices. table 5?71. default loading of different i/ o standards for stratix ii (part 1 of 2) i/o standard capacitive load unit lvttl 0 pf lv c m o s 0 p f 2.5 v 0 pf 1.8 v 0 pf 1.5 v 0 pf pci 10 pf pci-x 10 pf sstl-2 class i 0 pf
altera corporation 5?53 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics sstl-2 class ii 0 pf sstl-18 class i 0 pf sstl-18 class ii 0 pf 1.5-v hstl class i 0 pf 1.5-v hstl class ii 0 pf 1.8-v hstl class i 0 pf 1.8-v hstl class ii 0 pf 1.2-v hstl with oct 0 pf differential sstl-2 class i 0 pf differential sstl-2 class ii 0 pf differential sstl-18 class i 0 pf differential sstl-18 class ii 0 pf 1.5-v differential hstl class i 0 pf 1.5-v differential hstl class ii 0 pf 1.8-v differential hstl class i 0 pf 1.8-v differential hstl class ii 0 pf lv d s 0 p f hypertransport 0 pf lvpecl 0 pf table 5?71. default loading of different i/ o standards for stratix ii (part 2 of 2) i/o standard capacitive load unit
5?54 altera corporation stratix ii device handbook, volume 1 april 2011 timing model i/o delays see tables 5?72 through 5?76 for i/o delays. table 5?72. i/o delay parameters symbol parameter t dip delay from i/o datain to output pad t op delay from i/o output register to output pad t pcout delay from input pad to i/o dataout to core t pi delay from input pad to i/o input register table 5?73. stratix ii i/o input delay for column pins (part 1 of 3) i/o standard parameter minimum timing -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit industrial commercial lv t t l t pi 674 707 1223 1282 1405 1637 ps t pcout 408 428 787 825 904 1054 ps 2.5 v t pi 684 717 1210 1269 1390 1619 ps t pcout 418 438 774 812 889 1036 ps 1.8 v t pi 747 783 1366 1433 1570 1829 ps t pcout 481 504 930 976 1069 1246 ps 1.5 v t pi 749 786 1436 1506 1650 1922 ps t pcout 483 507 1000 1049 1149 1339 ps lv c m o s t pi 674 707 1223 1282 1405 1637 ps t pcout 408 428 787 825 904 1054 ps sstl-2 class i t pi 507 530 818 857 939 1094 ps t pcout 241 251 382 400 438 511 ps sstl-2 class ii t pi 507 530 818 857 939 1094 ps t pcout 241 251 382 400 438 511 ps sstl-18 class i t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps sstl-18 class ii t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps 1.5-v hstl class i t pi 560 587 993 1041 1141 1329 ps t pcout 294 308 557 584 640 746 ps
altera corporation 5?55 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 1.5-v hstl class ii t pi 560 587 993 1041 1141 1329 ps t pcout 294 308 557 584 640 746 ps 1.8-v hstl class i t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps 1.8-v hstl class ii t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps pci t pi 679 712 1214 1273 1395 1625 ps t pcout 413 433 778 816 894 1042 ps pci-x t pi 679 712 1214 1273 1395 1625 ps t pcout 413 433 778 816 894 1042 ps differential sstl-2 class i (1) t pi 507 530 818 857 939 1094 ps t pcout 241 251 382 400 438 511 ps differential sstl-2 class ii (1) t pi 507 530 818 857 939 1094 ps t pcout 241 251 382 400 438 511 ps differential sstl-18 class i (1) t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps differential sstl-18 class ii (1) t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps 1.8-v differential hstl class i (1) t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps 1.8-v differential hstl class ii (1) t pi 543 569 898 941 1031 1201 ps t pcout 277 290 462 484 530 618 ps 1.5-v differential hstl class i (1) t pi 560 587 993 1041 1141 1329 ps t pcout 294 308 557 584 640 746 ps 1.5-v differential hstl class ii (1) t pi 560 587 993 1041 1141 1329 ps t pcout 294 308 557 584 640 746 ps table 5?73. stratix ii i/o input delay for column pins (part 2 of 3) i/o standard parameter minimum timing -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit industrial commercial
5?56 altera corporation stratix ii device handbook, volume 1 april 2011 timing model 1.2-v hstl t pi 645 677 1194 1252 - - ps t pcout 379 398 758 795 - - ps notes for ta b l e 5 ? 7 3 : (1) these i/o standards are only supported on dqs pins. (2) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (3) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. table 5?74. stratix ii i/o input de lay for row pins (part 1 of 2) i/o standard parameter minimum timing -3 speed grade (1) -3 speed grade (2) -4 speed grade -5 speed grade unit industrial commercial lv t t l t pi 715 749 1287 1350 1477 1723 ps t pcout 391 410 760 798 873 1018 ps 2.5 v t pi 726 761 1273 1335 1461 1704 ps t pcout 402 422 746 783 857 999 ps 1.8 v t pi 788 827 1427 1497 1639 1911 ps t pcout 464 488 900 945 1035 1206 ps 1.5 v t pi 792 830 1498 1571 1720 2006 ps t pcout 468 491 971 1019 1116 1301 ps lv c m o s t pi 715 749 1287 1350 1477 1723 ps t pcout 391 410 760 798 873 1018 ps sstl-2 class i t pi 547 573 879 921 1008 1176 ps t pcout 223 234 352 369 404 471 ps sstl-2 class ii t pi 547 573 879 921 1008 1176 ps t pcout 223 234 352 369 404 471 ps sstl-18 class i t pi 577 605 960 1006 1101 1285 ps t pcout 253 266 433 454 497 580 ps sstl-18 class ii t pi 577 605 960 1006 1101 1285 ps t pcout 253 266 433 454 497 580 ps 1.5-v hstl class i t pi 602 631 1056 1107 1212 1413 ps t pcout 278 292 529 555 608 708 ps table 5?73. stratix ii i/o input delay for column pins (part 3 of 3) i/o standard parameter minimum timing -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit industrial commercial
altera corporation 5?57 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 1.5-v hstl class ii t pi 602 631 1056 1107 1212 1413 ps t pcout 278 292 529 555 608 708 ps 1.8-v hstl class i t pi 577 605 960 1006 1101 1285 ps t pcout 253 266 433 454 497 580 ps 1.8-v hstl class ii t pi 577 605 960 1006 1101 1285 ps t pcout 253 266 433 454 497 580 ps lv d s t pi 515 540 948 994 1088 1269 ps t pcout 191 201 421 442 484 564 ps hypertransport t pi 515 540 948 994 1088 1269 ps t pcout 191 201 421 442 484 564 ps notes for ta b l e 5 ? 7 4 : (1) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (2) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. table 5?75. stratix ii i/o output de lay for column pins (part 1 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial lvttl 4 ma t op 1178 1236 2351 2467 2702 2820 ps t dip 1198 1258 2417 2537 2778 2910 ps 8 ma t op 1041 1091 2036 2136 2340 2448 ps t dip 1061 1113 2102 2206 2416 2538 ps 12 ma t op 976 1024 2036 2136 2340 2448 ps t dip 996 1046 2102 2206 2416 2538 ps 16 ma t op 951 998 1893 1986 2176 2279 ps t dip 971 1020 1959 2056 2252 2369 ps 20 ma t op 931 976 1787 1875 2054 2154 ps t dip 951 998 1853 1945 2130 2244 ps 24 ma (1) t op 924 969 1788 1876 2055 2156 ps t dip 944 991 1854 1946 2131 2246 ps table 5?74. stratix ii i/o input de lay for row pins (part 2 of 2) i/o standard parameter minimum timing -3 speed grade (1) -3 speed grade (2) -4 speed grade -5 speed grade unit industrial commercial
5?58 altera corporation stratix ii device handbook, volume 1 april 2011 timing model lv c m o s 4 m a t op 1041 1091 2036 2136 2340 2448 ps t dip 1061 1113 2102 2206 2416 2538 ps 8 ma t op 952 999 1786 1874 2053 2153 ps t dip 972 1021 1852 1944 2129 2243 ps 12 ma t op 926 971 1720 1805 1977 2075 ps t dip 946 993 1786 1875 2053 2165 ps 16 ma t op 933 978 1693 1776 1946 2043 ps t dip 953 1000 1759 1846 2022 2133 ps 20 ma t op 921 965 1677 1759 1927 2025 ps t dip 941 987 1743 1829 2003 2115 ps 24 ma (1) t op 909 954 1659 1741 1906 2003 ps t dip 929 976 1725 1811 1982 2093 ps 2.5 v 4 ma t op 1004 1053 2063 2165 2371 2480 ps t dip 1024 1075 2129 2235 2447 2570 ps 8 ma t op 955 1001 1841 1932 2116 2218 ps t dip 975 1023 1907 2002 2192 2308 ps 12 ma t op 934 980 1742 1828 2002 2101 ps t dip 954 1002 1808 1898 2078 2191 ps 16 ma (1) t op 918 962 1679 1762 1929 2027 ps t dip 938 984 1745 1832 2005 2117 ps table 5?75. stratix ii i/o output de lay for column pins (part 2 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
altera corporation 5?59 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 1.8 v 2 ma t op 1042 1093 2904 3048 3338 3472 ps t dip 1062 1115 2970 3118 3414 3562 ps 4 ma t op 1047 1098 2248 2359 2584 2698 ps t dip 1067 1120 2314 2429 2660 2788 ps 6 ma t op 974 1022 2024 2124 2326 2434 ps t dip 994 1044 2090 2194 2402 2524 ps 8 ma t op 976 1024 1947 2043 2238 2343 ps t dip 996 1046 2013 2113 2314 2433 ps 10 ma t op 933 978 1882 1975 2163 2266 ps t dip 953 1000 1948 2045 2239 2356 ps 12 ma (1) t op 934 979 1833 1923 2107 2209 ps t dip 954 1001 1899 1993 2183 2299 ps 1.5 v 2 ma t op 1023 1073 2505 2629 2879 3002 ps t dip 1043 1095 2571 2699 2955 3092 ps 4 ma t op 963 1009 2023 2123 2325 2433 ps t dip 983 1031 2089 2193 2401 2523 ps 6 ma t op 966 1012 1923 2018 2210 2315 ps t dip 986 1034 1989 2088 2286 2405 ps 8 ma (1) t op 926 971 1878 1970 2158 2262 ps t dip 946 993 1944 2040 2234 2352 ps sstl-2 class i 8 ma t op 913 957 1715 1799 1971 2041 ps t dip 933 979 1781 1869 2047 2131 ps 12 ma (1) t op 896 940 1672 1754 1921 1991 ps t dip 916 962 1738 1824 1997 2081 ps sstl-2 class ii 16 ma t op 876 918 1609 1688 1849 1918 ps t dip 896 940 1675 1758 1925 2008 ps 20 ma t op 877 919 1598 1676 1836 1905 ps t dip 897 941 1664 1746 1912 1995 ps 24 ma (1) t op 872 915 1596 1674 1834 1903 ps t dip 892 937 1662 1744 1910 1993 ps table 5?75. stratix ii i/o output de lay for column pins (part 3 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
5?60 altera corporation stratix ii device handbook, volume 1 april 2011 timing model sstl-18 class i 4 ma t op 909 953 1690 1773 1942 2012 ps t dip 929 975 1756 1843 2018 2102 ps 6 ma t op 914 958 1656 1737 1903 1973 ps t dip 934 980 1722 1807 1979 2063 ps 8 ma t op 894 937 1640 1721 1885 1954 ps t dip 914 959 1706 1791 1961 2044 ps 10 ma t op 898 942 1638 1718 1882 1952 ps t dip 918 964 1704 1788 1958 2042 ps 12 ma (1) t op 891 936 1626 1706 1869 1938 ps t dip 911 958 1692 1776 1945 2028 ps sstl-18 class ii 8 ma t op 883 925 1597 1675 1835 1904 ps t dip 903 947 1663 1745 1911 1994 ps 16 ma t op 894 937 1578 1655 1813 1882 ps t dip 914 959 1644 1725 1889 1972 ps 18 ma t op 890 933 1585 1663 1821 1890 ps t dip 910 955 1651 1733 1897 1980 ps 20 ma (1) t op 890 933 1583 1661 1819 1888 ps t dip 910 955 1649 1731 1895 1978 ps 1.8-v hstl class i 4 ma t op 912 956 1608 1687 1848 1943 ps t dip 932 978 1674 1757 1924 2033 ps 6 ma t op 917 962 1595 1673 1833 1928 ps t dip 937 984 1661 1743 1909 2018 ps 8 ma t op 896 940 1586 1664 1823 1917 ps t dip 916 962 1652 1734 1899 2007 ps 10 ma t op 900 944 1591 1669 1828 1923 ps t dip 920 966 1657 1739 1904 2013 ps 12 ma (1) t op 892 936 1585 1663 1821 1916 ps t dip 912 958 1651 1733 1897 2006 ps table 5?75. stratix ii i/o output de lay for column pins (part 4 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
altera corporation 5?61 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 1.8-v hstl class ii 16 ma t op 877 919 1385 1453 1591 1680 ps t dip 897 941 1451 1523 1667 1770 ps 18 ma t op 879 921 1394 1462 1602 1691 ps t dip 899 943 1460 1532 1678 1781 ps 20 ma (1) t op 879 921 1402 1471 1611 1700 ps t dip 899 943 1468 1541 1687 1790 ps 1.5-v hstl class i 4 ma t op 912 956 1607 1686 1847 1942 ps t dip 932 978 1673 1756 1923 2032 ps 6 ma t op 917 961 1588 1666 1825 1920 ps t dip 937 983 1654 1736 1901 2010 ps 8 ma t op 899 943 1590 1668 1827 1922 ps t dip 919 965 1656 1738 1903 2012 ps 10 ma t op 900 943 1592 1670 1829 1924 ps t dip 920 965 1658 1740 1905 2014 ps 12 ma (1) t op 893 937 1590 1668 1827 1922 ps t dip 913 959 1656 1738 1903 2012 ps 1.5-v hstl class ii 16 ma t op 881 924 1431 1501 1644 1734 ps t dip 901 946 1497 1571 1720 1824 ps 18 ma t op 884 927 1439 1510 1654 1744 ps t dip 904 949 1505 1580 1730 1834 ps 20 ma (1) t op 886 929 1450 1521 1666 1757 ps t dip 906 951 1516 1591 1742 1847 ps 1.2-v hstl t op 958 1004 1602 1681 - - ps t dip 978 1026 1668 1751 - - ps pci t op 1028 1082 1956 2051 2244 2070 ps t dip 1048 1104 2022 2121 2320 2160 ps pci-x t op 1028 1082 1956 2051 2244 2070 ps t dip 1048 1104 2022 2121 2320 2160 ps table 5?75. stratix ii i/o output de lay for column pins (part 5 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
5?62 altera corporation stratix ii device handbook, volume 1 april 2011 timing model differential sstl-2 class i 8 ma t op 913 957 1715 1799 1971 2041 ps t dip 933 979 1781 1869 2047 2131 ps 12 ma t op 896 940 1672 1754 1921 1991 ps t dip 916 962 1738 1824 1997 2081 ps differential sstl-2 class ii 16 ma t op 876 918 1609 1688 1849 1918 ps t dip 896 940 1675 1758 1925 2008 ps 20 ma t op 877 919 1598 1676 1836 1905 ps t dip 897 941 1664 1746 1912 1995 ps 24 ma t op 872 915 1596 1674 1834 1903 ps t dip 892 937 1662 1744 1910 1993 ps differential sstl-18 class i 4 ma t op 909 953 1690 1773 1942 2012 ps t dip 929 975 1756 1843 2018 2102 ps 6 ma t op 914 958 1656 1737 1903 1973 ps t dip 934 980 1722 1807 1979 2063 ps 8 ma t op 894 937 1640 1721 1885 1954 ps t dip 914 959 1706 1791 1961 2044 ps 10 ma t op 898 942 1638 1718 1882 1952 ps t dip 918 964 1704 1788 1958 2042 ps 12 ma t op 891 936 1626 1706 1869 1938 ps t dip 911 958 1692 1776 1945 2028 ps differential sstl-18 class ii 8 ma t op 883 925 1597 1675 1835 1904 ps t dip 903 947 1663 1745 1911 1994 ps 16 ma t op 894 937 1578 1655 1813 1882 ps t dip 914 959 1644 1725 1889 1972 ps 18 ma t op 890 933 1585 1663 1821 1890 ps t dip 910 955 1651 1733 1897 1980 ps 20 ma t op 890 933 1583 1661 1819 1888 ps t dip 910 955 1649 1731 1895 1978 ps table 5?75. stratix ii i/o output de lay for column pins (part 6 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
altera corporation 5?63 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 1.8-v differential hstl class i 4 ma t op 912 956 1608 1687 1848 1943 ps t dip 932 978 1674 1757 1924 2033 ps 6 ma t op 917 962 1595 1673 1833 1928 ps t dip 937 984 1661 1743 1909 2018 ps 8 ma t op 896 940 1586 1664 1823 1917 ps t dip 916 962 1652 1734 1899 2007 ps 10 ma t op 900 944 1591 1669 1828 1923 ps t dip 920 966 1657 1739 1904 2013 ps 12 ma t op 892 936 1585 1663 1821 1916 ps t dip 912 958 1651 1733 1897 2006 ps 1.8-v differential hstl class ii 16 ma t op 877 919 1385 1453 1591 1680 ps t dip 897 941 1451 1523 1667 1770 ps 18 ma t op 879 921 1394 1462 1602 1691 ps t dip 899 943 1460 1532 1678 1781 ps 20 ma t op 879 921 1402 1471 1611 1700 ps t dip 899 943 1468 1541 1687 1790 ps 1.5-v differential hstl class i 4 ma t op 912 956 1607 1686 1847 1942 ps t dip 932 978 1673 1756 1923 2032 ps 6 ma t op 917 961 1588 1666 1825 1920 ps t dip 937 983 1654 1736 1901 2010 ps 8 ma t op 899 943 1590 1668 1827 1922 ps t dip 919 965 1656 1738 1903 2012 ps 10 ma t op 900 943 1592 1670 1829 1924 ps t dip 920 965 1658 1740 1905 2014 ps 12 ma t op 893 937 1590 1668 1827 1922 t dip 913 959 1656 1738 1903 2012 table 5?75. stratix ii i/o output de lay for column pins (part 7 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
5?64 altera corporation stratix ii device handbook, volume 1 april 2011 timing model 1.5-v differential hstl class ii 16 ma t op 881 924 1431 1501 1644 1734 ps t dip 901 946 1497 1571 1720 1824 ps 18 ma t op 884 927 1439 1510 1654 1744 t dip 904 949 1505 1580 1730 1834 20 ma t op 886 929 1450 1521 1666 1757 t dip 906 951 1516 1591 1742 1847 notes to table 5?75 : (1) this is the default setting in the quartus ii software. (2) these i/o standards are only supported on dqs pins. (3) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (4) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. table 5?76. stratix ii i/o output delay for row pins (part 1 of 3) i/o standard drive strength parameter minimum timing -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit industrial commercial lv t t l 4 m a t op 1267 1328 2655 2786 3052 3189 ps t dip 1225 1285 2600 2729 2989 3116 ps 8 ma t op 1144 1200 2113 2217 2429 2549 ps t dip 1102 1157 2058 2160 2366 2476 ps 12 ma (1) t op 1091 1144 2081 2184 2392 2512 ps t dip 1049 1101 2026 2127 2329 2439 ps lv c m o s 4 m a t op 1144 1200 2113 2217 2429 2549 ps t dip 1102 1157 2058 2160 2366 2476 ps 8 ma (1) t op 1044 1094 1853 1944 2130 2243 ps t dip 1002 1051 1798 1887 2067 2170 ps table 5?75. stratix ii i/o output de lay for column pins (part 8 of 8) i/o standard drive strength parameter minimum timing -3 speed grade (3) -3 speed grade (4) -4 speed grade -5 speed grade unit industrial commercial
altera corporation 5?65 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 2.5 v 4 ma t op 1128 1183 2091 2194 2403 2523 ps t dip 1086 1140 2036 2137 2340 2450 ps 8 ma t op 1030 1080 1872 1964 2152 2265 ps t dip 988 1037 1817 1907 2089 2192 ps 12 ma (1) t op 1012 1061 1775 1862 2040 2151 ps t dip 970 1018 1720 1805 1977 2078 ps 1.8 v 2 ma t op 1196 1253 2954 3100 3396 3542 ps t dip 1154 1210 2899 3043 3333 3469 ps 4 ma t op 1184 1242 2294 2407 2637 2763 ps t dip 1142 1199 2239 2350 2574 2690 ps 6 ma t op 1079 1131 2039 2140 2344 2462 ps t dip 1037 1088 1984 2083 2281 2389 ps 8 ma (1) t op 1049 1100 1942 2038 2232 2348 ps t dip 1007 1057 1887 1981 2169 2275 ps 1.5 v 2 ma t op 1158 1213 2530 2655 2908 3041 ps t dip 1116 1170 2475 2598 2845 2968 ps 4 ma t op 1055 1106 2020 2120 2322 2440 ps t dip 1013 1063 1965 2063 2259 2367 ps sstl-2 class i 8 ma t op 1002 1050 1759 1846 2022 2104 ps t dip 960 1007 1704 1789 1959 2031 ps sstl-2 class ii 16 ma (1) t op 947 992 1581 1659 1817 1897 ps t dip 905 949 1526 1602 1754 1824 ps sstl-18 class i 4 ma t op 990 1038 1709 1793 1964 2046 ps t dip 948 995 1654 1736 1901 1973 ps 6 ma t op 994 1042 1648 1729 1894 1975 ps t dip 952 999 1593 1672 1831 1902 ps 8 ma t op 970 1018 1633 1713 1877 1958 ps t dip 928 975 1578 1656 1814 1885 ps 10 ma (1) t op 974 1021 1615 1694 1856 1937 ps t dip 932 978 1560 1637 1793 1864 ps table 5?76. stratix ii i/o output delay for row pins (part 2 of 3) i/o standard drive strength parameter minimum timing -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit industrial commercial
5?66 altera corporation stratix ii device handbook, volume 1 april 2011 timing model maximum input & output clock toggle rate maximum clock toggle rate is de fined as the maximum frequency achievable for a clock type signal at an i/o pin. the i/o pin can be a regular i/o pin or a de dicated clock i/o pin. 1.8-v hstl class i 4 ma t op 972 1019 1610 1689 1850 1956 ps t dip 930 976 1555 1632 1787 1883 ps 6 ma t op 975 1022 1580 1658 1816 1920 ps t dip 933 979 1525 1601 1753 1847 ps 8 ma t op 958 1004 1576 1653 1811 1916 ps t dip 916 961 1521 1596 1748 1843 ps 10 ma t op 962 1008 1567 1644 1801 1905 ps t dip 920 965 1512 1587 1738 1832 ps 12 ma (1) t op 953 999 1566 1643 1800 1904 ps t dip 911 956 1511 1586 1737 1831 ps 1.5-v hstl class i 4 ma t op 970 1018 1591 1669 1828 1933 ps t dip 928 975 1536 1612 1765 1860 ps 6 ma t op 974 1021 1579 1657 1815 1919 ps t dip 932 978 1524 1600 1752 1846 ps 8 ma (1) t op 960 1006 1572 1649 1807 1911 ps t dip 918 963 1517 1592 1744 1838 ps lv d s t op 1018 1067 1723 1808 1980 2089 ps t dip 976 1024 1668 1751 1917 2016 ps hypertransport t op 1005 1053 1723 1808 1980 2089 ps t dip 963 1010 1668 1751 1917 2016 ps notes to table 5?76 : (1) this is the default setting in the quartus ii software. (2) these numbers apply to -3 speed grade ep2s15, ep2s30, ep2s60, and ep2s90 devices. (3) these numbers apply to -3 speed grade ep2s130 and ep2s180 devices. table 5?76. stratix ii i/o output delay for row pins (part 3 of 3) i/o standard drive strength parameter minimum timing -3 speed grade (2) -3 speed grade (3) -4 speed grade -5 speed grade unit industrial commercial
altera corporation 5?67 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics the maximum clock toggle rate is different from the maximum data bit rate. if the maximum clock toggle rate on a regular i/o pin is 300 mhz, the maximum data bit rate for dual data rate (ddr) could be potentially as high as 600 mbps on the same i/o pin. table 5?77 specifies the maximum in put clock toggle rates. table 5?78 specifies the maximum output clock toggle rates at 0pf load. table 5?79 specifies the derating factors for the output clock toggle rate for a non 0pf load. to calculate the output toggle rate for a non 0pf load, use this formula: the toggle rate for a non 0pf load = 1000 / (1000/ toggle rate at 0pf load + derating factor * load value in pf /1000) for example, the output toggle rate at 0pf load for sstl-18 class ii 20ma i/o standard is 550 mhz on a -3 devi ce clock output pin. the derating factor is 94ps/pf. for a 10pf load the toggle rate is calculated as: 1000 / (1000/550 + 94 10 /1000) = 363 (mhz) tables 5?77 through 5?79 show the i/o toggle rates for stratix ii devices. table 5?77. maximum input toggle rate on stratix ii devices (part 1 of 2) input i/o standard column i/o pins (mhz ) row i/o pins (mhz) dedicated clock inputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5 lvttl 500 500 450 500 500 450 500 500 400 2.5-v lvttl/cmos 500 500 450 500 500 450 500 500 400 1.8-v lvttl/cmos 500 500 450 500 500 450 500 500 400 1.5-v lvttl/cmos 500 500 450 500 500 450 500 500 400 lvcmos 500 500 450 500 500 450 500 500 400 sstl-2 class i 500 500 500 500 500 500 500 500 500 sstl-2 class ii 500 500 500 500 500 500 500 500 500 sstl-18 class i 500 500 500 500 500 500 500 500 500 sstl-18 class ii 500 500 500 500 500 500 500 500 500 1.5-v hstl class i 500 500 500 500 500 500 500 500 500 1.5-v hstl class ii 500 500 500 500 500 500 500 500 500 1.8-v hstl class i 500 500 500 500 500 500 500 500 500
5?68 altera corporation stratix ii device handbook, volume 1 april 2011 timing model 1.8-v hstl class ii 500 500 500 500 500 500 500 500 500 pci (1) 500 500 450 - - - 500 500 400 pci-x (1) 500 500 450 - - - 500 500 400 1.2-v hstl (2) 280 - - - - - 280 - - differential sstl-2 class i (1) , (3) 500 500 500 - - - 500 500 500 differential sstl-2 class ii (1) , (3) 500 500 500 - - - 500 500 500 differential sstl-18 class i (1) , (3) 500 500 500 - - - 500 500 500 differential sstl-18 class ii (1) , (3) 500 500 500 - - - 500 500 500 1.8-v differential hstl class i (1) , (3) 500 500 500 - - - 500 500 500 1.8-v differential hstl class ii (1) , (3) 500 500 500 - - - 500 500 500 1.5-v differential hstl class i (1) , (3) 500 500 500 - - - 500 500 500 1.5-v differential hstl class ii (1) , (3) 500 500 500 - - - 500 500 500 hypertransport technology (4) - - - 520 520 420 717 717 640 lvpecl (1) - - - - - - 450 450 400 lvds (5) - - - 520 520 420 717 717 640 lvds (6) - - - - - - 450 450 400 notes to table 5?77 : (1) row clock inputs don?t support pci, pci-x, lv pecl, and differential hstl and sstl standards. (2) 1.2-v hstl is only su pported on column i/o pins. (3) differential hstl and sstl standards are on ly supported on column clock and dqs inputs. (4) hypertransport technology is only supported on row i/o and row dedicated clock input pins. (5) these numbers apply to i/o pins and dedicate d clock pins in the left and right i/o banks. (6) these numbers apply to dedicated cloc k pins in the top and bottom i/o banks. table 5?77. maximum input toggle rate on stratix ii devices (part 2 of 2) input i/o standard column i/o pins (mhz ) row i/o pins (mhz) dedicated clock inputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5
altera corporation 5?69 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?78. maximum output toggle rate on stratix ii devices (part 1 of 5) note (1) i/o standard drive strength column i/o pins (mhz) row i/o pins (mhz) clock outputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5 3.3-v lvttl 4 ma 270 225 210 270 225 210 270 225 210 8 ma 435 355 325 435 355 325 435 355 325 12 ma 580 475 420 580 475 420 580 475 420 16 ma 720 594 520 - - - 720 594 520 20 ma 875 700 610 - - - 875 700 610 24 ma 1,030 794 670 - - - 1,030 794 670 3.3-v lvcmos 4 ma 290 250 230 290 250 230 290 250 230 8 ma 565 480 440 565 480 440 565 480 440 12 ma 790 710 670 - - - 790 710 670 16 ma 1,020 925 875 - - - 1,020 925 875 20 ma 1,066 985 935 - - - 1,066 985 935 24 ma 1,100 1,040 1,000 - - - 1,100 1,040 1,000 2.5-v lvttl/lvcmos 4 ma 230 194 180 230 194 180 230 194 180 8 ma 430 380 380 430 380 380 430 380 380 12 ma 630 575 550 630 575 550 630 575 550 16 ma 930 845 820 - - - 930 845 820 1.8-v lvttl/lvcmos 2 ma 120 109 104 120 109 104 120 109 104 4 ma 285 250 230 285 250 230 285 250 230 6 ma 450 390 360 450 390 360 450 390 360 8 ma 660 570 520 660 570 520 660 570 520 10 ma 905 805 755 - - - 905 805 755 12 ma 1,131 1,040 990 - - - 1,131 1,040 990 1.5-v lvttl/lvcmos 2 ma 244 200 180 244 200 180 244 200 180 4 ma 470 370 325 470 370 325 470 370 325 6 ma 550 430 375 - - - 550 430 375 8 ma 625 495 420 - - - 625 495 420 sstl-2 class i 8 ma 400 300 300 - - - 400 300 300 12 ma 400 400 350 400 350 350 400 400 350 sstl-2 class ii 16 ma 350 350 300 350 350 300 350 350 300 20 ma 400 350 350 - - - 400 350 350 24 ma 400 400 350 - - - 400 400 350
5?70 altera corporation stratix ii device handbook, volume 1 april 2011 timing model sstl-18 class i 4 ma 200 150 150 200 150 150 200 150 150 6 ma 350 250 200 350 250 200 350 250 200 8 ma 450 300 300 450 300 300 450 300 300 10 ma 500 400 400 500 400 400 500 400 400 12 ma 700 550 400 - - - 650 550 400 sstl-18 class ii 8 ma 200 200 150 - - - 200 200 150 16 ma 400 350 350 - - - 400 350 350 18 ma 450 400 400 - - - 450 400 400 20 ma 550 500 450 - - - 550 500 450 1.8-v hstl class i 4 ma 300 300 300 300 300 300 300 300 300 6 ma 500 450 450 500 450 450 500 450 450 8 ma 650 600 600 650 600 600 650 600 600 10 ma 700 650 600 700 650 600 700 650 600 12 ma 700 700 650 700 700 650 700 700 650 1.8-v hstl class ii 16 ma 500 500 450 - - - 500 500 450 18 ma 550 500 500 - - - 550 500 500 20 ma 650 550 550 - - - 550 550 550 1.5-v hstl class i 4 ma 350 300 300 350 300 300 350 300 300 6 ma 500 500 450 500 500 450 500 500 450 8 ma 700 650 600 700 650 600 700 650 600 10 ma 700 700 650 - - - 700 700 650 12 ma 700 700 700 - - - 700 700 700 1.5-v hstl class ii 16 ma 600 600 550 - - - 600 600 550 18 ma 650 600 600 - - - 650 600 600 20 ma 700 650 600 - - - 700 650 600 differential sstl-2 class i (3) 8 ma 400 300 300 400 300 300 400 300 300 12 ma 400 400 350 400 400 350 400 400 350 differential sstl-2 class ii (3) 16 ma 350 350 300 350 350 300 350 350 300 20 ma 400 350 350 350 350 297 400 350 350 24 ma 400 400 350 - - - 400 400 350 table 5?78. maximum output toggle rate on stratix ii devices (part 2 of 5) note (1) i/o standard drive strength column i/o pins (mhz) row i/o pins (mhz) clock outputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5
altera corporation 5?71 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics differential sstl-18 class i (3) 4 ma 200 150 150 200 150 150 200 150 150 6 ma 350 250 200 350 250 200 350 250 200 8 ma 450 300 300 450 300 300 450 300 300 10 ma 500 400 400 500 400 400 500 400 400 12 ma 700 550 400 350 350 297 650 550 400 differential sstl-18 class ii (3) 8 ma 200 200 150 - - - 200 200 150 16 ma 400 350 350 - - - 400 350 350 18 ma 450 400 400 - - - 450 400 400 20 ma 550 500 450 - - - 550 500 450 1.8-v differential hstl class i (3) 4 ma 300 300 300 - - - 300 300 300 6 ma 500 450 450 - - - 500 450 450 8 ma 650 600 600 - - - 650 600 600 10 ma 700 650 600 - - - 700 650 600 12 ma 700 700 650 - - - 700 700 650 1.8-v differential hstl class ii (3) 16 ma 500 500 450 - - - 500 500 450 18 ma 550 500 500 - - - 550 500 500 20 ma 650 550 550 - - - 550 550 550 1.5-v differential hstl class i (3) 4 ma 350 300 300 - - - 350 300 300 6 ma 500 500 450 - - - 500 500 450 8 ma 700 650 600 - - - 700 650 600 10 ma 700 700 650 - - - 700 700 650 12 ma 700 700 700 - - - 700 700 700 1.5-v differential hstl class ii (3) 16 ma 600 600 550 - - - 600 600 550 18 ma 650 600 600 - - - 650 600 600 20 ma 700 650 600 - - - 700 650 600 3.3-v pci 1,000 790 670 - - - 1,000 790 670 3.3-v pci-x 1,000 790 670 - - - 1,000 790 670 lvds (6) - - - 500 500 500 450 400 300 hypertransport technology (4) , (6) 500 500 500 - - - lvpecl (5) - - - - - - 450 400 300 3.3-v lvttl oct 50 400 400 350 400 400 350 400 400 350 2.5-v lvttl oct 50 350 350 300 350 350 300 350 350 300 table 5?78. maximum output toggle rate on stratix ii devices (part 3 of 5) note (1) i/o standard drive strength column i/o pins (mhz) row i/o pins (mhz) clock outputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5
5?72 altera corporation stratix ii device handbook, volume 1 april 2011 timing model 1.8-v lvttl oct 50 700 550 450 700 550 450 700 550 450 3.3-v lvcmos oct 50 350 350 300 350 350 300 350 350 300 1.5-v lvcmos oct 50 550 450 400 550 450 400 550 450 400 sstl-2 class i oct 50 600 500 500 600 500 500 600 500 500 sstl-2 class ii oct 25 600 550 500 600 550 500 600 550 500 sstl-18 class i oct 50 560 400 350 590 400 350 450 400 350 sstl-18 class ii oct 25 550 500 450 - - - 550 500 450 1.2-v hstl (2) oct 50 280 - - - - - 280 - - 1.5-v hstl class i oct 50 600 550 500 600 550 500 600 550 500 1.8-v hstl class i oct 50 650 600 600 650 600 600 650 600 600 1.8-v hstl class ii oct 25 500 500 450 - - - 500 500 450 differential sstl-2 class i oct 50 600 500 500 600 500 500 600 500 500 differential sstl-2 class ii oct 25 600 550 500 600 550 500 600 550 500 differential sstl-18 class i oct 50 560 400 350 590 400 350 560 400 350 differential sstl-18 class ii oct 25 550 500 450 - - - 550 500 450 1.8-v differential hstl class i oct 50 650 600 600 650 600 600 650 600 600 1.8-v differential hstl class ii oct 25 500 500 450 - - - 500 500 450 1.5-v differential hstl class i oct 50 600 550 500 600 550 500 600 550 500 table 5?78. maximum output toggle rate on stratix ii devices (part 4 of 5) note (1) i/o standard drive strength column i/o pins (mhz) row i/o pins (mhz) clock outputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5
altera corporation 5?73 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics 1.2-v differential hstl oct 50 280 - - - - - 280 - - notes to table 5?78 : (1) the toggle rate applies to 0-pf output load for all i/ o standards except for lvds and hypertransport technology on row i/o pins. for lvds and hypertra nsport technology on row i/o pins, the toggle rates apply to load from 0 to 5pf. (2) 1.2-v hstl is only supported on co lumn i/o pins in i/o banks 4, 7, and 8. (3) differential hstl and sstl is only supported on column clock and dqs outputs. (4) hypertransport technology is only supported on row i/o and row dedicated clock input pins. (5) lvpecl is only supported on column clock pins. (6) refer to tables 5?81 through 5?91 if using serdes block. use the toggle rate values from the clock output column for pll output. table 5?79. maximum output clock toggle rate derating factors (part 1 of 5) i/o standard drive strength maximum output clock toggle rate derating factors (ps/pf) column i/o pins row i/o pi ns dedicated clock outputs -3 -4 -5 -3 -4 -5 -3 -4 -5 3.3-v lvttl 4 ma 478 510 510 478 510 510 466 510 510 8 ma 260 333 333 260 333 333 291 333 333 12 ma 213 247 247 213 247 247 211 247 247 16 ma 136 197 197 - - - 166 197 197 20 ma 138 187 187 - - - 154 187 187 24 ma 134 177 177 - - - 143 177 177 3.3-v lvcmos 4 ma 377 391 391 377 391 391 377 391 391 8 ma 206 212 212 206 212 212 178 212 212 12 ma 141 145 145 - - - 115 145 145 16 ma 108 111 111 - - - 86 111 111 20 ma 83 88 88 - - - 79 88 88 24 ma 65 72 72 - - - 74 72 72 2.5-v lvttl/lvcmos 4 ma 387 427 427 387 427 427 391 427 427 8 ma 163 224 224 163 224 224 170 224 224 12 ma 142 203 203 142 203 203 152 203 203 16 ma 120 182 182 - - - 134 182 182 table 5?78. maximum output toggle rate on stratix ii devices (part 5 of 5) note (1) i/o standard drive strength column i/o pins (mhz) row i/o pins (mhz) clock outputs (mhz) -3 -4 -5 -3 -4 -5 -3 -4 -5
5?74 altera corporation stratix ii device handbook, volume 1 april 2011 timing model 1.8-v lvttl/lvcmos 2 ma 951 1421 1421 951 1421 1421 904 1421 1421 4 ma 405 516 516 405 516 516 393 516 516 6 ma 261 325 325 261 325 325 253 325 325 8 ma 223 274 274 223 274 274 224 274 274 10 ma 194 236 236 - - - 199 236 236 12 ma 174 209 209 - - - 180 209 209 1.5-v lvttl/lvcmos 2 ma 652 963 963 652 963 963 618 963 963 4 ma 333 347 347 333 347 347 270 347 347 6 ma 182 247 247 - - - 198 247 247 8 ma 135 194 194 - - - 155 194 194 sstl-2 class i 8 ma 364 680 680 364 680 680 350 680 680 12 ma 163 207 207 163 207 207 188 207 207 sstl-2 class ii 16 ma 118 147 147 118 147 147 94 147 147 20 ma 99 122 122 - - - 87 122 122 24 ma 91 116 116 - - - 85 116 116 sstl-18 class i 4 ma 458 570 570 458 570 570 505 570 570 6 ma 305 380 380 305 380 380 336 380 380 8 ma 225 282 282 225 282 282 248 282 282 10 ma 167 220 220 167 220 220 190 220 220 12 ma 129 175 175 - - - 148 175 175 sstl-18 class ii 8 ma 173 206 206 - - - 155 206 206 16 ma 150 160 160 - - - 140 160 160 18 ma 120 130 130 - - - 110 130 130 20 ma 109 127 127 - - - 94 127 127 sstl-2 class i 8 ma 364 680 680 364 680 680 350 680 680 12 ma 163 207 207 163 207 207 188 207 207 sstl-2 class ii 16 ma 118 147 147 118 147 147 94 147 147 20 ma 99 122 122 - - - 87 122 122 24 ma 91 116 116 - - - 85 116 116 table 5?79. maximum output clock toggle rate derating factors (part 2 of 5) i/o standard drive strength maximum output clock toggle rate derating factors (ps/pf) column i/o pins row i/o pi ns dedicated clock outputs -3 -4 -5 -3 -4 -5 -3 -4 -5
altera corporation 5?75 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics sstl-18 class i 4 ma 458 570 570 458 570 570 505 570 570 6 ma 305 380 380 305 380 380 336 380 380 8 ma 225 282 282 225 282 282 248 282 282 10 ma 167 220 220 167 220 220 190 220 220 12 ma 129 175 175 - - - 148 175 175 sstl-18 class ii 8 ma 173 206 206 - - - 155 206 206 16 ma 150 160 160 - - - 140 160 160 18 ma 120 130 130 - - - 110 130 130 20 ma 109 127 127 - - - 94 127 127 1.8-v hstl class i 4 ma 245 282 282 245 282 282 229 282 282 6 ma 164 188 188 164 188 188 153 188 188 8 ma 123 140 140 123 140 140 114 140 140 10 ma 110 124 124 110 124 124 108 124 124 12 ma 97 110 110 97 110 110 104 110 110 1.8-v hstl class ii 16 ma 101 104 104 - - - 99 104 104 18 ma 98 102 102 - - - 93 102 102 20 ma 93 99 99 - - - 88 99 99 1.5-v hstl class i 4 ma 168 196 196 168 196 196 188 196 196 6 ma 112 131 131 112 131 131 125 131 131 8 ma 84 99 99 84 99 99 95 99 99 10 ma 87 98 98 - - - 90 98 98 12 ma 86 98 98 - - - 87 98 98 1.5-v hstl class ii 16 ma 95 101 101 - - - 96 101 101 18 ma 95 100 100 - - - 101 100 100 20 ma 94 101 101 - - - 104 101 101 differential sstl-2 class ii (3) 8 ma 364 680 680 - - - 350 680 680 12 ma 163 207 207 - - - 188 207 207 16 ma 118 147 147 - - - 94 147 147 20 ma 99 122 122 - - - 87 122 122 24 ma 91 116 116 - - - 85 116 116 table 5?79. maximum output clock toggle rate derating factors (part 3 of 5) i/o standard drive strength maximum output clock toggle rate derating factors (ps/pf) column i/o pins row i/o pi ns dedicated clock outputs -3 -4 -5 -3 -4 -5 -3 -4 -5
5?76 altera corporation stratix ii device handbook, volume 1 april 2011 timing model differential sstl-18 class i (3) 4 ma 458 570 570 - - - 505 570 570 6 ma 305 380 380 - - - 336 380 380 8 ma 225 282 282 - - - 248 282 282 10 ma 167 220 220 - - - 190 220 220 12 ma 129 175 175 - - - 148 175 175 differential sstl-18 class ii (3) 8 ma 173 206 206 - - - 155 206 206 16 ma 150 160 160 - - - 140 160 160 18 ma 120 130 130 - - - 110 130 130 20 ma 109 127 127 - - - 94 127 127 1.8-v differential hstl class i (3) 4 ma 245 282 282 - - - 229 282 282 6 ma 164 188 188 - - - 153 188 188 8 ma 123 140 140 - - - 114 140 140 10 ma 110 124 124 - - - 108 124 124 12 ma 97 110 110 - - - 104 110 110 1.8-v differential hstl class ii (3) 16 ma 101 104 104 - - - 99 104 104 18 ma 98 102 102 - - - 93 102 102 20 ma 93 99 99 - - - 88 99 99 1.5-v differential hstl class i (3) 4 ma 168 196 196 - - - 188 196 196 6 ma 112 131 131 - - - 125 131 131 8 ma 84 99 99 - - - 95 99 99 10 ma 87 98 98 - - - 90 98 98 12 ma 86 98 98 - - - 87 98 98 1.5-v differential hstl class ii (3) 16 ma 95 101 101 - - - 96 101 101 18 ma 95 100 100 - - - 101 100 100 20 ma 94 101 101 - - - 104 101 101 3.3-v pci 134 177 177 - - - 143 177 177 3.3-v pci-x 134 177 177 - - - 143 177 177 lvds - - - 155 (1) 155 (1) 155 (1) 134 134 134 hypertransport technology - - - 155 (1) 155 (1) 155 (1) -- - lvpecl (4) - - - - - - 134 134 134 table 5?79. maximum output clock toggle rate derating factors (part 4 of 5) i/o standard drive strength maximum output clock toggle rate derating factors (ps/pf) column i/o pins row i/o pi ns dedicated clock outputs -3 -4 -5 -3 -4 -5 -3 -4 -5
altera corporation 5?77 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics duty cycle distortion duty cycle distortion (dcd) describe s how much the falling edge of a clock is off from its idea l position. the ideal position is when both the clock high time (clkh) and the clock low time (clkl) equal half of the clock period (t), as shown in figure 5?7 . dcd is the deviation of the non-ideal falling edge from the ideal falling edge, such as d1 for the falling edge a and d2 for the falling edge b ( figure 5?7 ). the maximum dcd for a clock is the larger value of d1 and d2. 3.3-v lvttl oct 50 133 152 152 133 152 152 147 152 152 2.5-v lvttl oct 50 207 274 274 207 274 274 235 274 274 1.8-v lvttl oct 50 151 165 165 151 165 165 153 165 165 3.3-v lvcmos oct 50 300 316 316 300 316 316 263 316 316 1.5-v lvcmos oct 50 157 171 171 157 171 171 174 171 171 sstl-2 class i oct 50 121 134 134 121 134 134 77 134 134 sstl-2 class ii oct 25 56 101 101 56 101 101 58 101 101 sstl-18 class i oct 50 100 123 123 100 123 123 106 123 123 sstl-18 class ii oct 25 61 110 110 - - - 59 110 110 1.2-v hstl (2) oct 50 95 - - - - - - - 95 notes to table 5?79 : (1) for lvds and hypertransport technology output on row i/o pins, the toggle rate derating factors apply to loads larger than 5 pf. in the derating calculation, subtract 5 pf from the intended load value in pf for the correct result. for a load less than or equal to 5 pf, refer to table 5?78 for output toggle rates. (2) 1.2-v hstl is only supported on co lumn i/o pins in i/o banks 4,7, and 8. (3) differential hstl and sstl is only supported on column clock and dqs outputs. (4) lvpecl is only supported on column clock outputs. table 5?79. maximum output clock toggle rate derating factors (part 5 of 5) i/o standard drive strength maximum output clock toggle rate derating factors (ps/pf) column i/o pins row i/o pi ns dedicated clock outputs -3 -4 -5 -3 -4 -5 -3 -4 -5
5?78 altera corporation stratix ii device handbook, volume 1 april 2011 duty cycle distortion figure 5?7. duty cycle distortion dcd expressed in absolution deriva tion, for example, d1 or d2 in figure 5?7 , is clock-period independent. dcd can also be expressed as a percentage, and the percentage number is clock-period dependent. dcd as a percentage is defined as (t/2 ? d1) / t (the low percentage boundary) (t/2 + d2) / t (the high percentage boundary) dcd measurement techniques dcd is measured at an fpga output pin driven by registers inside the corresponding i/o element (ioe) block. when the ou tput is a single data rate signal (non-ddio), on ly one edge of the regist er input clock (positive or negative) triggers output transitions ( figure 5?8 ). therefore, any dcd present on the input clock signal or caused by the clock input buffer or different input i/o standard does no t transfer to the output signal. figure 5?8. dcd measurement technique for non-ddio (single-da ta rate) outputs clkh = t/2 clkl = t/2 d1 d2 falling edge a ideal falling edge clock period (t) falling edge b dq inst prn clrn inst1 dff input vcc clk not output output ioe
altera corporation 5?79 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics however, when the output is a doub le data rate input/output (ddio) signal, both edges of the input clock signal (posit ive and negative) trigger output transitions ( figure 5?9 ). therefore, any dist ortion on the input clock and the input clock buff er affect the output dcd. figure 5?9. dcd measurement technique fo r ddio (double-data rate) outputs when an fpga pll generates the inte rnal clock, the pll output clocks the ioe block. as the pll only monitors the positive edge of the reference clock input and internally re-creates the output cloc k signal, any dcd present on the reference clock is filt ered out. therefore, the dcd for a ddio output with pll in the clock path is better than the dcd for a ddio output without pll in the clock path. tables 5?80 through 5?87 give the maximum dcd in absolution derivation for different i/o standards on stratix ii devices. examples are also provided that show how to calculate dcd as a percentage. dq inst2 prn clrn inst8 dff input vcc clk not output output ioe dq inst3 prn clrn dff v cc gnd table 5?80. maximum dcd for non-ddio output on row i/o pins (part 1 of 2) note (1) row i/o output standard maximum dcd for non-ddio output -3 devices -4 & -5 devices unit 3.3-v lvtttl 245 275 ps 3.3-v lvcmos 125 155 ps 2.5 v 105 135 ps
5?80 altera corporation stratix ii device handbook, volume 1 april 2011 duty cycle distortion here is an example for calculatin g the dcd as a percentage for a non-ddio output on a row i/o on a -3 device: if the non-ddio output i/o standard is sstl-2 class ii, the maximum dcd is 95 ps (see table 5?80 ). if the clock frequency is 267 mhz, the clock period t is: t = 1/ f = 1 / 267 mhz = 3.745 ns = 3745 ps to calculate the dcd as a percentage: (t/2 ? dcd) / t = (3745ps/2 ? 95ps) / 3745ps = 47.5% (for low boundary) (t/2 + dcd) / t = (3745ps/2 + 95ps) / 3745ps = 52.5% (for high boundary) 1.8 v 180 180 ps 1.5-v lvcmos 165 195 ps sstl-2 class i 115 145 ps sstl-2 class ii 95 125 ps sstl-18 class i 55 85 ps 1.8-v hstl class i 80 100 ps 1.5-v hstl class i 85 115 ps lvds/ hypertransport technology 55 80 ps note to table 5?80 : (1) the dcd specification is based on a no logic array noise condition. table 5?80. maximum dcd for non-ddio output on row i/o pins (part 2 of 2) note (1) row i/o output standard maximum dcd for non-ddio output -3 devices -4 & -5 devices unit
altera corporation 5?81 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics therefore, the dcd percentage for the 267 mhz sstl-2 class ii non-ddio row output clock on a ?3 device ranges from 47.5% to 52.5%. table 5?81. maximum dcd for non- ddio output on column i/o pins note (1) column i/o output standard i/o standard maximum dcd for non-ddio output unit -3 devices -4 & -5 devices 3.3-v lvttl 190 220 ps 3.3-v lvcmos 140 175 ps 2.5 v 125 155 ps 1.8 v 80 110 ps 1.5-v lvcmos 185 215 ps sstl-2 class i 105 135 ps sstl-2 class ii 100 130 ps sstl-18 class i 90 115 ps sstl-18 class ii 70 100 ps 1.8-v hstl class i 80 110 ps 1.8-v hstl class ii 80 110 ps 1.5-v hstl class i 85 115 ps 1.5-v hstl class ii 50 80 ps 1.2-v hstl (2) 170 - ps lvpecl 55 80 ps notes to ta b l e 5 ? 8 1 : (1) the dcd specification is based on a no logic array noise condition. (2) 1.2-v hstl is only supported in -3 devices.
5?82 altera corporation stratix ii device handbook, volume 1 april 2011 duty cycle distortion here is an example for calculating the dcd in percentage for a ddio output on a row i/o on a -3 device: if the input i/o standard is sstl-2 and the ddio output i/o standard is sstl-2 class ii, the maximum dcd is 60 ps (see table 5?82 ). if the clock frequency is 267 mhz, the clock period t is: t = 1/ f = 1 / 267 mhz = 3.745 ns = 3745 ps calculate the dcd as a percentage: (t/2 ? dcd) / t = (3745ps/2 ? 60ps) / 3745ps = 48.4% (for low boundary) (t/2 + dcd) / t = (3745 ps/2 + 60 ps) / 3745ps = 51.6% (for high boundary) table 5?82. maximum dcd for ddio output on row i/ o pins without pll in the clock path for -3 devices notes (1) , (2) row ddio output i/o standard maximum dcd based on i/o standard of input feeding the ddio clock port (no pll in clock path) unit ttl/cmos sstl-2 sstl/hstl lvds/ hypertransport technology 3.3 & 2.5 v 1.8 & 1.5 v 2.5 v 1.8 & 1.5 v 3.3 v 3.3-v lvttl 260 380 145 145 110 ps 3.3-v lvcmos 210 330 100 100 65 ps 2.5 v 195 315 85 85 75 ps 1.8 v 150 265 85 85 120 ps 1.5-v lvcmos 255 370 140 140 105 ps sstl-2 class i 175 295 65 65 70 ps sstl-2 class ii 170 290 60 60 75 ps sstl-18 class i 155 275 55 50 90 ps 1.8-v hstl class i 150 270 60 60 95 ps 1.5-v hstl class i 150 270 55 55 90 ps lvds/ hypertransport technology 180 180 180 180 180 ps notes to table 5?82 : (1) the information in table 5?82 assumes the input clock has zero dcd. (2) the dcd specification is based on a no logic array noise condition.
altera corporation 5?83 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics therefore, the dcd percentage for the 267 mhz sstl-2 class ii ddio row output clock on a ?3 devi ce ranges from 48.4% to 51.6%. table 5?83. maximum dcd for ddio output on row i/o pi ns without pll in the clock path for -4 & -5 devices notes (1) , (2) row ddio output i/o standard maximum dcd based on i/o standard of input feeding th e ddio clock port (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl lvds/ hypertransport technology 3.3/2.5 v 1.8/1.5 v 2.5 v 1.8/1.5 v 3.3 v 3.3-v lvttl 440 495 170 160 105 ps 3.3-v lvcmos 390 450 120 110 75 ps 2.5 v 375 430 105 95 90 ps 1.8 v 325 385 90 100 135 ps 1.5-v lvcmos 430 490 160 155 100 ps sstl-2 class i 355 410 85 75 85 ps sstl-2 class ii 350 405 80 70 90 ps sstl-18 class i 335 390 65 65 105 ps 1.8-v hstl class i 330 385 60 70 110 ps 1.5-v hstl class i 330 390 60 70 105 ps lvds/ hypertransport technology 180 180 180 180 180 ps notes to table 5?83 : (1) table 5?83 assumes the input clock has zero dcd. (2) the dcd specification is based on a no logic array noise condition. table 5?84. maximum dcd for ddio output on column i/o pins without pll in the clock path for -3 devices (part 1 of 2) notes (1) , (2) ddio column output i/o standard maximum dcd based on i/o standard of input feeding the ddio clock port (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl 1.2-v hstl 3.3/2.5 v 1.8/1.5 v 2.5 v 1.8/1.5 v 1.2 v 3.3-v lvttl 260 380 145 145 145 ps 3.3-v lvcmos 210 330 100 100 100 ps 2.5 v 195 315 85 85 85 ps
5?84 altera corporation stratix ii device handbook, volume 1 april 2011 duty cycle distortion 1.8 v 150 265 85 85 85 ps 1.5-v lvcmos 255 370 140 140 140 ps sstl-2 class i 175 295 65 65 65 ps sstl-2 class ii 170 290 60 60 60 ps sstl-18 class i 155 275 55 50 50 ps sstl-18 class ii 140 260 70 70 70 ps 1.8-v hstl class i 150 270 60 60 60 ps 1.8-v hstl class ii 150 270 60 60 60 ps 1.5-v hstl class i 150 270 55 55 55 ps 1.5-v hstl class ii 125 240 85 85 85 ps 1.2-v hstl 240 360 155 155 155 ps lvpecl 180 180 180 180 180 ps notes to table 5?84 : (1) table 5?84 assumes the input clock has zero dcd. (2) the dcd specification is based on a no logic array noise condition. table 5?85. maximum dcd for ddio output on column i/o pins without pll in the clock path for -4 & -5 devices (part 1 of 2) notes (1) , (2) ddio column output i/o standard maximum dcd based on i/o standar d of input feeding the ddio clock port (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl 3.3/2.5 v 1.8/1.5 v 2.5 v 1.8/1.5 v 3.3-v lvttl 440 495 170 160 ps 3.3-v lvcmos 390 450 120 110 ps 2.5 v 375 430 105 95 ps 1.8 v 325 385 90 100 ps 1.5-v lvcmos 430 490 160 155 ps sstl-2 class i 355 410 85 75 ps sstl-2 class ii 350 405 80 70 ps table 5?84. maximum dcd for ddio output on column i/o pins without pll in the clock path for -3 devices (part 2 of 2) notes (1) , (2) ddio column output i/o standard maximum dcd based on i/o standard of input feeding the ddio clock port (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl 1.2-v hstl 3.3/2.5 v 1.8/1.5 v 2.5 v 1.8/1.5 v 1.2 v
altera corporation 5?85 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics sstl-18 class i 335 390 65 65 ps sstl-18 class ii 320 375 70 80 ps 1.8-v hstl class i 330 385 60 70 ps 1.8-v hstl class ii 330 385 60 70 ps 1.5-v hstl class i 330 390 60 70 ps 1.5-v hstl class ii 330 360 90 100 ps 1.2-v hstl 420 470 155 165 ps lvpecl 180 180 180 180 ps notes to table 5?85 : (1) table 5?85 assumes the input clock has zero dcd. (2) the dcd specification is based on a no logic array noise condition. table 5?85. maximum dcd for ddio output on column i/o pins without pll in the clock path for -4 & -5 devices (part 2 of 2) notes (1) , (2) ddio column output i/o standard maximum dcd based on i/o standar d of input feeding the ddio clock port (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl 3.3/2.5 v 1.8/1.5 v 2.5 v 1.8/1.5 v table 5?86. maximum dcd for ddio output on row i/o pins with pll in the clock path (part 1 of 2) note (1) row ddio output i/o standard maximum dcd (pll output clock feeding ddio clock port) unit -3 device -4 & -5 device 3.3-v lvttl 110 105 ps 3.3-v lvcmos 65 75 ps 2.5v 75 90 ps 1.8v 85 100 ps 1.5-v lvcmos 105 100 ps sstl-2 class i 65 75 ps sstl-2 class ii 60 70 ps sstl-18 class i 50 65 ps 1.8-v hstl class i 50 70 ps 1.5-v hstl class i 55 70 ps
5?86 altera corporation stratix ii device handbook, volume 1 april 2011 duty cycle distortion lvds/ hypertransport technology 180 180 ps note to table 5?86 : (1) the dcd specification is based on a no logic array noise condition. table 5?87. maximum dcd for ddio output on column i/o with pll in the clock path note (1) column ddio output i/o standard maximum dcd (pll output clock feeding ddio clock port) unit -3 device -4 & -5 device 3.3-v lvttl 145 160 ps 3.3-v lvcmos 100 110 ps 2.5v 85 95 ps 1.8v 85 100 ps 1.5-v lvcmos 140 155 ps sstl-2 class i 65 75 ps sstl-2 class ii 60 70 ps sstl-18 class i 50 65 ps sstl-18 class ii 70 80 ps 1.8-v hstl class i 60 70 ps 1.8-v hstl class ii 60 70 ps 1.5-v hstl class i 55 70 ps 1.5-v hstl class ii 85 100 ps 1.2-v hstl 155 - ps lvpecl 180 180 ps notes to ta b l e 5 ? 8 7 : (1) the dcd specification is based on a no logic array noise condition. (2) 1.2-v hstl is only supported in -3 devices. table 5?86. maximum dcd for ddio output on row i/o pins with pll in the clock path (part 2 of 2) note (1) row ddio output i/o standard maximum dcd (pll output clock feeding ddio clock port) unit -3 device -4 & -5 device
altera corporation 5?87 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics high-speed i/o specifications table 5?88 provides high-speed timing specifications definitions. table 5?89 shows the high-speed i/o timing specifications for -3 speed grade stratix ii devices. table 5?88. high-speed timing s pecifications & definitions high-speed timing spec ifications definitions t c high-speed receiver/transmitter input and output clock period. f hsclk high-speed receiver/transmitter input and output clock frequency. j deserialization factor (width of parallel data bus). w pll multiplication factor. t rise low-to-high transmission time. t fall high-to-low transmission time. timing unit interval (tui) the timing budget allowed for skew, propagation delays, and data sampling window. (tui = 1/(receiver input clock frequency multiplication factor) = t c / w ). f hsdr maximum/minimum lvds data transfer rate (f hsdr = 1/tui), non-dpa. f hsdrdpa maximum/minimum lvds data transfer rate (f hsdrdpa = 1/tui), dpa. channel-to-channel skew (tccs) the timing difference between the fastest and slowest output edges, including t co variation and clock skew. the clock is included in the tccs measurement. sampling window (sw) the period of time during which the data must be valid in order to capture it correctly. the setup and hold ti mes determine the ideal strobe position within the sampling window. input jitter peak-to-peak inpu t jitter on high-speed plls. output jitter peak-to-peak out put jitter on high-speed plls. t duty duty cycle on high-speed transmitter output clock. t lock lock time for high-speed transmitter and receiver plls. table 5?89. high-speed i/o s pecifications for -3 speed grade (part 1 of 2) notes (1) , (2) symbol conditions -3 speed grade unit min typ max f hsclk (clock frequency) f hsclk = f hsdr / w w = 2 to 32 (lvds, hypertransport technology) (3) 16 520 mhz w = 1 (serdes bypass, lvds only) 16 500 mhz w = 1 (serdes used, lvds only) 150 717 mhz
5?88 altera corporation stratix ii device handbook, volume 1 april 2011 high-speed i/o specifications f hsdr (data rate) j = 4 to 10 (lvds, hypertransport technology) 150 1,040 mbps j = 2 (lvds, hypertransport technology) (4) 760 mbps j = 1 (lvds only) (4) 500 mbps f hsdrdpa (dpa data rate) j = 4 to 10 (lvds, hypertransport technology) 150 1,040 mbps tccs all differential standards - 200 ps sw all differential standards 330 - ps output jitter 190 ps output t rise all differential i/o standards 160 ps output t fall all differential i/o standards 180 ps t duty 45 50 55 % dpa run length 6,400 ui dpa jitter tolerance data channel peak-to-peak jitter 0.44 ui dpa lock time standard training pattern transition density number of repetitions spi-4 0000000000 1111111111 10% 256 parallel rapid i/o 00001111 25% 256 10010000 50% 256 miscellaneous 10101010 100% 256 01010101 256 notes to table 5?89 : (1) when j = 4 to 10, the serdes block is used. (2) when j = 1 or 2, the serdes block is bypassed. (3) the input clock frequency and the w factor must sa tisfy the following fast pll vco specification: 150 input clock frequency w 1,040. (4) the minimum specification is dependen t on the clock source (fast pll, enhanced pll, clock pin, and so on) and the clock routing resource (global, regional, or local) utili zed. the i/o differential buff er and input register do not have a minimum toggle rate. table 5?89. high-speed i/o s pecifications for -3 speed grade (part 2 of 2) notes (1) , (2) symbol conditions -3 speed grade unit min typ max
altera corporation 5?89 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?90 shows the high-speed i/o timing specifications for -4 speed grade stratix ii devices. table 5?90. high-speed i/o specifi cations for -4 speed grade notes (1) , (2) symbol conditions -4 speed grade unit min typ max f hsclk (clock frequency) f hsclk = f hsdr / w w = 2 to 32 (lvds, hypertransport technology) (3) 16 520 mhz w = 1 (serdes bypass, lvds only) 16 500 mhz w = 1 (serdes used, lvds only) 150 717 mhz f hsdr (data rate) j = 4 to 10 (lvds, hypertransport technology) 150 1,040 mbps j = 2 (lvds, hypertransport technology) (4) 760 mbps j = 1 (lvds only) (4) 500 mbps f hsdrdpa (dpa data rate) j = 4 to 10 (lvds, hypertransport technology) 150 1,040 mbps tccs all differential standards - 200 ps sw all differential standards 330 - ps output jitter 190 ps output t rise all differential i/o standards 160 ps output t fall all differential i/o standards 180 ps t duty 45 50 55 % dpa run length 6,400 ui dpa jitter tolerance data channel peak-to-peak jitter 0.44 ui dpa lock time standard training pattern transition density number of repetitions spi-4 0000000000 1111111111 10% 256 parallel rapid i/o 00001111 25% 256 10010000 50% 256 miscellaneous 10101010 100% 256 01010101 256 notes to table 5?90 : (1) when j = 4 to 10, the serdes block is used. (2) when j = 1 or 2, the serdes block is bypassed. (3) the input clock frequency and the w factor must sa tisfy the following fast pll vco specification: 150 input clock frequency w 1,040. (4) the minimum specification is dependen t on the clock source (fast pll, enhanced pll, clock pin, and so on) and the clock routing resource (global, regional, or local) utili zed. the i/o differential buff er and input register do not have a minimum toggle rate.
5?90 altera corporation stratix ii device handbook, volume 1 april 2011 high-speed i/o specifications table 5?91 shows the high-speed i/o timing specifications for -5 speed grade stratix ii devices. table 5?91. high-speed i/o specifi cations for -5 speed grade notes (1) , (2) symbol conditions -5 speed grade unit min typ max f hsclk (clock frequency) f hsclk = f hsdr / w w = 2 to 32 (lvds, hypertransport technology) (3) 16 420 mhz w = 1 (serdes bypass, lvds only) 16 500 mhz w = 1 (serdes used, lvds only) 150 640 mhz f hsdr (data rate) j = 4 to 10 (lvds, hypertransport technology) 150 840 mbps j = 2 (lvds, hypertransport technology) (4) 700 mbps j = 1 (lvds only) (4) 500 mbps f hsdrdpa (dpa data rate) j = 4 to 10 (lvds, hypertransport technology) 150 840 mbps tccs all differential i/o standards - 200 ps sw all differential i/o standards 440 - ps output jitter 190 ps output t rise all differential i/o standards 290 ps output t fall all differential i/o standards 290 ps t duty 45 50 55 % dpa run length 6,400 ui dpa jitter tolerance data channel peak-to-peak jitter 0.44 ui dpa lock time standard training pattern transition density number of repetitions spi-4 0000000000 1111111111 10% 256 parallel rapid i/o 00001111 25% 256 10010000 50% 256 miscellaneous 10101010 100% 256 01010101 256 notes to table 5?91 : (1) when j = 4 to 10, the serdes block is used. (2) when j = 1 or 2, the serdes block is bypassed. (3) the input clock frequency and the w factor must sa tisfy the following fast pll vco specification: 150 input clock frequency w 1,040. (4) the minimum specification is dependen t on the clock source (fast pll, enhanced pll, clock pin, and so on) and the clock routing resource (global, regional, or local) utili zed. the i/o differential buff er and input register do not have a minimum toggle rate.
altera corporation 5?91 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics pll timing specifications tables 5?92 and 5?93 describe the stratix ii pll specifications when operating in both the commercial junc tion temperature range (0 to 85 c) and the industrial junction temperature range (?40 to 100 c). table 5?92. enhanced pll speci fications (part 1 of 2) name description min typ max unit f in input clock frequency 2 500 mhz f inpfd input frequency to the pfd 2 420 mhz f induty input clock duty cycle 40 60 % f einduty external feedback input clock duty cycle 40 60 % t injitter input or external feedback clock input jitter tolerance in terms of period jitter. bandwidth 0.85 mhz 0.5 ns (p-p) input or external feedback clock input jitter tolerance in terms of period jitter. bandwidth > 0.85 mhz 1.0 ns (p-p) t outjitter dedicated clock output period jitter 250 ps for 100 mhz outclk 25 mui for < 100 mhz outclk ps or mui (p-p) t fcomp external feedback compensation time 10 ns f out output frequency for internal global or regional clock 1.5 (2) 550.0 mhz t outduty duty cycle for external clock output (when set to 50%). 45 50 55 % f scanclk scanclk frequency 100 mhz t configpll time required to reconfigure scan chains for enhanced plls 174/f scanclk ns f out_ext pll external clock output frequency 1.5 (2) 550.0 (1) mhz
5?92 altera corporation stratix ii device handbook, volume 1 april 2011 pll timing specifications t lock time required for the pll to lock from the time it is enabled or the end of device configuration 0.03 1 ms t dlock time required for the pll to lock dynamically after automatic clock switchover between two identical clock frequencies 1ms f switchover frequency range where the clock switchover performs properly 4 500 mhz f clbw pll closed-loop bandwidth 0.13 1.20 16.90 mhz f vco pll vco operating range for ?3 and ?4 speed grade devices 300 1,040 mhz pll vco operating range for ?5 speed grade devices 300 840 mhz f ss spread-spectrum modulation frequency 30 150 khz % spread percent down spread for a given clock frequency 0.4 0.5 0.6 % t pll_pserr accuracy of pll phase shift 15 ps t areset minimum pulse width on areset signal. 10 ns t areset_reconfig minimum pulse width on the areset signal when using pll reconfiguration. reset the pll after scandone goes high. 500 ns notes to table 5?92 : (1) limited by i/o f max . see table 5?78 on page 5?69 for the maximum. cannot exceed f out specification. (2) if the counter cascading feature of the pll is ut ilized, there is no minimum output clock frequency. table 5?92. enhanced pll speci fications (part 2 of 2) name description min typ max unit
altera corporation 5?93 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?93. fast pll specifications name description min typ max unit f in input clock frequency (for -3 and -4 speed grade devices) 16.08 717 mhz input clock frequency (for -5 speed grade devices) 16.08 640 mhz f inpfd input frequency to the pfd 16.08 500 mhz f induty input clock duty cycle 40 60 % t injitter input clock jitter tolerance in terms of period jitter. bandwidth 2mhz 0.5 ns (p-p) input clock jitter tolerance in terms of period jitter. bandwidth > 2mhz 1.0 ns (p-p) f vco upper vco frequency range for ?3 and ?4 speed grades 300 1,040 mhz upper vco frequency range for ?5 speed grades 300 840 mhz lower vco frequency range for ?3 and ?4 speed grades 150 520 mhz lower vco frequency range for ?5 speed grades 150 420 mhz f out pll output frequency to gclk or rclk 4.6875 550 mhz pll output frequency to lvds or dpa clock 150 1,040 mhz f out_io pll clock output frequency to regular i/o pin 4.6875 (1) mhz f scanclk scanclk frequency 100 mhz t configpll time required to rec onfigure scan chains for fast plls 75/f scanclk ns f clbw pll closed-loop bandwidth 1.16 5.00 28.00 mhz t lock time required for the pll to lock from the time it is enabled or the end of the device configuration 0.03 1.00 ms t pll_pserr accuracy of pll phase shift 15 ps t areset minimum pulse width on areset signal. 10 ns t areset_reconfig minimum pulse width on the areset signal when using pll reconfiguration. reset the pll after scandone goes high. 500 ns note to table 5?93 : (1) limited by i/o f max . see table 5?77 on page 5?67 for the maximum.
5?94 altera corporation stratix ii device handbook, volume 1 april 2011 external memory interface specifications external memory interface specifications tables 5?94 through 5?101 contain stratix ii device specifications for the dedicated circuitry used for interfac ing with external memory devices. table 5?95 lists the maximum delay in th e fast timing model for the stratix ii dqs delay buffer. multiply th e number of delay buffers that you are using in the dqs logic block to ge t the maximum delay achievable in your system. for example, if you im plement a 90 phase shift at 200 mhz, you use three delay buffers in mode 2. the maximum achievable delay from the dqs block is th en 3 .416 ps = 1.248 ns. table 5?94. dll frequency range specifications frequency mode frequency range resolution (degrees) 0 100 to 175 30 1 150 to 230 22.5 2 200 to 310 30 3 240 to 400 (?3 speed grade) 36 240 to 350 (?4 and ?5 speed grades) 36 table 5?95. dqs delay buffer maximum delay in fast timing model frequency mode maximum delay per delay buffer (fast timing model) unit 0 0.833 ns 1, 2, 3 0.416 ns table 5?96. dqs period jitter specifi cations for dll-delayed clock (tdqs_jitter) note (1) number of dqs delay buffer stages (2) commercial industrial unit 1 80 110 ps 2 110 130 ps 3 130 180 ps 4 160 210 ps notes to ta b l e 5 ? 9 6 : (1) peak-to-peak period jitter on the phase shifted dqs clock. (2) delay stages used for requested dqs ph ase shift are reported in your project?s compilation report in the quartus ii software.
altera corporation 5?95 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?97. dqs phase jitter specifi cations for dll-delayed clock (tdqs phase_jitter) note (1) number of dqs delay buffer stages (2) dqs phase jitter unit 130ps 260ps 390ps 4 120 ps notes to ta b l e 5 ? 9 7 : (1) peak-to-peak phase jitter on the phase sh ifted dds clock (digital jitter is caused by dll tracking). (2) delay stages used for requested dqs ph ase shift are reported in your project?s compilation report in the quartus ii software. table 5?98. dqs phase-shift error specificat ions for dll-delay ed clock (tdqs_pserr) (1) number of dqs delay buffer stages (2) ?3 speed grade ?4 speed grade ?5 speed grade unit 1 253035ps 2 506070ps 3 75 90 105 ps 4 100 120 140 ps notes to table 5?98 : (1) this error specification is the absolute maximum and mi nimum error. for example, sk ew on three delay buffer stages in a c3 speed grade is 75 ps or 37.5 ps . (2) delay stages used for requested dqs phase shift are reported in your project?s compilation report in the quartus ii software. table 5?99. dqs bus clock skew adder specifications (tdqs_clock_skew_adder) mode dqs clock skew adder unit 4 dq per dqs 40 ps 9 dq per dqs 70 ps 18 dq per dqs 75 ps 36 dq per dqs 95 ps note to table 5?99 : (1) this skew specification is the absolute maximum and minimum skew. for example, skew on a 4 dq group is 40 ps or 20 ps.
5?96 altera corporation stratix ii device handbook, volume 1 april 2011 jtag timing specifications jtag timing specifications figure 5?10 shows the timing requirements for the jtag signals. figure 5?10. stratix ii jtag waveforms table 5?100. dqs phase offset delay per stage notes (1) , (2) , (3) speed grade min max unit -3 9 14 ps -4 9 14 ps -5 9 15 ps notes to table 5?100 : (1) the delay settings are linear. (2) the valid settings for phase offset are -64 to +63 for frequency mode 0 and -32 to +31 for frequency modes 1, 2, and 3. (3) the typical value equals the average of the minimum and maximum values. table 5?101. ddio outputs half-period jitter notes (1) , (2) name description max unit t outhalfjitter half-period jitter (pll driving ddio outputs) 200 ps notes to table 5?101 : (1) the worst-case half period is equal to th e ideal half period subtracted by the dcd and half-period jitter values. (2) the half-period jitter was characte rized using a pll driving ddio outputs. tdo tck t jpzx t jpco t jph t jpxz t jcp t jpsu t jcl t jch tdi tms
altera corporation 5?97 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics table 5?102 shows the jtag timing parame ters and values for stratix ii devices. document revision history table 5?103 shows the revision hi story for this chapter. table 5?102. stratix ii jtag timing parameters & values symbol parameter min max unit t jcp tck clock period 30 ns t jch tck clock high time 13 ns t jcl tck clock low time 13 ns t jpsu jtag port setup time 3 ns t jph jtag port hold time 5 ns t jpco jtag port clock to output 11 (1) ns t jpzx jtag port high impedance to valid output 14 (1) ns t jpxz jtag port valid output to high impedance 14 (1) ns note to table 5?102 : (1) a 1 ns adder is required for each v ccio voltage step down from 3.3 v. for example, t jpco = 12 ns if v ccio of the tdo i/o bank = 2.5 v, or 13 ns if it equals 1.8 v. table 5?103. document revision history (part 1 of 3) date and document version changes made summary of changes april 2011, v4.5 updated ta b l e 5 ? 3 . added operating junction temperature for military use. july 2009, v4.4 updated ta b l e 5 ? 9 2 . updated the spread spectrum modulation frequency (f ss ) from (100 khz?500 khz) to (30 khz?150 khz). may 2007, v4.3 updated r conf in table 5?4. updated f in (min) in table 5?92. updated f in and f inpfd in table 5?93. ? moved the document revision history section to the end of the chapter. ?
5?98 altera corporation stratix ii device handbook, volume 1 april 2011 document revision history august, 2006, v4.2 updated table 5?73, table 5?75, table 5?77, table 5?78, table 5?79, table 5?81, table 5?85, and table 5?87. ? april 2006, v4.1 updated table 5?3. updated table 5?11. updated figures 5?8 and 5?9. added parallel on-chip termination information to ?on-chip termination s pecifications? section. updated tables 5?28, 5?30,5?31, and 5?34. updated table 5?78, tables 5?81 through 5?90, and tables 5?92, 5?93, and 5?98. updated ?pll timing specifications? section. updated ?external memory interface specifications? section. added tables 5?95 and 5?101. updated ?jtag timing specifications? section, including figure 5?10 and table 5?102. changed 0.2 mhz to 2 mhz in table 5?93. added new spec for half period jitter (table 5?101). added support for pll clock switchover for industrial temperature range. changed f inpfd (min) spec from 4 mhz to 2 mhz in table 5?92. fixed typo in t outjitter specification in table 5?92. updated v dif ac & dc max specifications in table 5?28. updated minimum values for t jch , t jcl , and t jpsu in table 5?102. update maximum values for t jpco , t jpzx , and t jpxz in table 5?102. december 2005, v4.0 updated ?external memory interface specifications? section. updated timing numbers throughout chapter. ? july 2005, v3.1 updated hypertransport technology information in table 5?13. updated ?timing model? section. updated ?pll timing specifications? section. updated ?external memory interface specifications? section. ? may 2005, v3.0 updated tables throughout chapter. updated ?power consumption? section. added various tables. replaced ?maximum input & output clock rate? section with ?maximum input & output clock toggle rate? section. added ?duty cycle distortion? section. added ?external memory interface specifications? section. ? march 2005, v2.2 updated tables in ?internal timing parameters? section. ? january 2005, v2.1 updated input rise and fall time. ? table 5?103. document revision history (part 2 of 3) date and document version changes made summary of changes
altera corporation 5?99 april 2011 stratix ii device handbook, volume 1 dc & switching characteristics january 2005, v2.0 updated the ?power consumption? section. added the ?high-speed i/o specifications? and ?on-chip termination s pecifications? sections. removed the esd protection specifications section. updated tables 5?3 through 5?13, 5?16 through 5?18, 5?21, 5?35, 5?39, and 5?40. updated tables in ?timing model? section. added tables 5?30 and 5?31. ? october 2004, v1.2 updated table 5?3. updated introduction text in the ?pll timing specifications? section. ? july 2004, v1.1 re-organized chapter. added typical values and c outfb to table 5?32. added undershoot specification to note (4) for tables 5?1 through 5?9. added note (1) to tables 5?5 and 5?6. added v id and v icm to table 5?10. added ?i/o timing meas urement methodology? section. added table 5?72. updated tables 5?1 through 5?2 and tables 5?24 through 5?29. ? february 2004, v1.0 added document to the stratix ii device handbook. ? table 5?103. document revision history (part 3 of 3) date and document version changes made summary of changes
5?100 altera corporation stratix ii device handbook, volume 1 april 2011 document revision history
altera corporation 6?1 april 2011 6. reference & ordering information software stratix ? ii devices are supported by the altera ? quartus ? ii design software, which provides a comprehe nsive environment for system-on-a- programmable-chip (sopc) design. the quartus ii software includes hdl and schematic design entry, comp ilation and logic synthesis, full simulation and advanced ti ming analysis, signaltap ? ii logic analyzer, and device configuration. see the quartus ii handbook for more information on the quartus ii software features. the quartus ii software supports the windows xp/2000/nt/98, sun solaris, linux red hat v7.1 and hp-ux operating systems. it also supports seamless integr ation with industry-leading eda tools through the nativelink ? interface. device pin-outs device pin-outs for stratix ii devices are available on the altera web site at ( www.altera.com ). ordering information figure 6?1 describes the ordering codes for stratix ii devices. for more information on a specific package, refer to the package information for stratix ii & stratix ii gx devices chapter in volume 2 of the stratix ii device handbook or the stratix ii gx device handbook . sii51006-2.2
6?2 altera corporation stratix ii device handbook, volume 1 april 2011 document revision history figure 6?1. stratix ii device pa ckaging ordering information note to figure 6?1 : (1) applicable to i4 devices. for more information, refer to the stratix ii military temperature range support technical brief. document revision history table 6?1 shows the revision history for this chapter. device type packa g e type 3, 4, or 5, w ith 3 b eing the fastest nu m b er of pins for a partic u lar fineline bga package es: f: fineline bga h: hy b rid fineline bga ep2s: stratix ii 15 30 60 90 130 1 8 0 optional suffix family si g nature operatin g temperature speed grade pin count engineering sample 7 ep2s 90 c 150 8 fes indicates specific de v ice options or shipment method. c: i: commercial temperat u re (t j = 0 c to 8 5 c) ind u strial temperat u re (t j = -40 c to 100 c) military temperat u re (t j = -55 c to 125 c) (1 ) table 6?1. document revision history date and document version changes made summary of changes april 2011, v2.2 updated figure 6?1 . added operating junction temperature for military use. may 2007, v2.1 moved the document revision history section to the end of the chapter. ? january 2005, v2.0 contact information was removed. ? october 2004, v1.1 updated figure 6?1. ? february 2004, v1.0 added document to the stratix ii device handbook. ?


▲Up To Search▲   

 
Price & Availability of EP2S5F1508I5ES

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X